[sv-bc] exported task declarations in modules

From: Rich, Dave <Dave_Rich_at_.....>
Date: Tue Feb 22 2005 - 23:38:10 PST
Section 20.6 has the following text:

"If the tasks or functions are defined in a module, using a hierarchical name, they must also be declared as extern in the interface, or as export in a modport."

First of all, the hierarchical name is really an interface identifier.

Secondly, is this implication bidirectional?  If tasks or functions are declared as extern in the interface, or as export in a modport, must they be defined using an interface identifier?

i.e. can this module be connected to the interface show below?

module slave (interface i);
  task read(...);
endmodule
interface ch;
  modport sl(export task read(...));
  modport mstr(import task read(...));
endinterface

reported as http://www.eda.org/svdb/bug_view_page.php?bug_id=0000387

David Rich
Verification Technologist
Design Verification & Test Division
Mentor Graphics Corporation
dave_rich@mentor.com
Office:   408 487-7206
Cell:     510 589-2625
Received on Tue Feb 22 23:38:14 2005

This archive was generated by hypermail 2.1.8 : Tue Feb 22 2005 - 23:38:36 PST