RE: [sv-ec] Concurrent assert statement within procedural block

From: Korchemny, Dmitry <dmitry.korchemny_at_.....>
Date: Wed Sep 10 2008 - 05:30:48 PDT
Hi Sarani,

If you mean that first the whole attempt of s1 is evaluated, and then
the whole attempt of s2, the answer is no. In your specific examples
there is no difference whether you write your assertions within the
procedural block or outside.

Also, I doubt that these assertions express your intent correctly since
they are contradictory. E.g., my_assert1 states that counter1 is always
3 and at the same time starting from the forth clock cycle it is always
4.

Regards,
Dmitry

-----Original Message-----
From: owner-sv-ec@server.eda.org [mailto:owner-sv-ec@server.eda.org] On
Behalf Of Sarani Roy
Sent: Wednesday, September 10, 2008 3:09 PM
To: sv-ac@server.eda.org; sv-ec@server.eda.org
Subject: [sv-ec] Concurrent assert statement within procedural block

Hi,

I have a query regarding concurrent assertions statement :

sequence s1;
 @ (posedge clk) (counter1 == 3) ##3 (counter1 == 4);
endsequence

property p1;
  (counter1 == 13) ##[0:4] (counter2 == 3) ##1 (counter1 == 15);
endproperty

property p2;
  @ (posedge clk) p1;
endproperty

always @ (posedge clk)
begin
  my_assert1 : assert property (s1);
              
  my_assert2 : assert property (p2);
              
end

Since  the property can be asserted inside a procedural block, is the 
property evaluated sequentially?
Or is the behaviour same as if it was asserted outside the always block
?
Does both property evaluation start at the posedge of clk
simultaneously?

Regards,
Sarani



-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.

---------------------------------------------------------------------
Intel Israel (74) Limited

This e-mail and any attachments may contain confidential material for
the sole use of the intended recipient(s). Any review or distribution
by others is strictly prohibited. If you are not the intended
recipient, please contact the sender and delete all copies.


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.
Received on Wed Sep 10 05:34:34 2008

This archive was generated by hypermail 2.1.8 : Wed Sep 10 2008 - 05:35:10 PDT