System/Verilog Basic Committee By messages with attachments
- SV-BC Minutes from the meeting of July 22, 2002 - by Cliff Cummings Clifford E. Cummings (Mon Aug 05 2002 - 08:40:38 PDT)
- SV_BC_minutes_20020722.PDF (17195 bytes)
- Agenda for Monday, August 19th SV-BC Meeting Clifford E. Cummings (Mon Aug 19 2002 - 07:34:02 PDT)
- SV_BC_agenda_20020819.PDF (23369 bytes)
- Minutes from the SV-BC Meeting of August 19, 2002 Clifford E. Cummings (Mon Aug 19 2002 - 12:01:26 PDT)
- SV_BC_minutes_20020819.PDF (14146 bytes)
- Confirmed List of Attendees and Interesting Statistics Vassilios.Gerousis@Infineon.Com (Mon Sep 02 2002 - 03:57:36 PDT)
- Gerousis_Vassilios__CL_DAT_.vcf (501 bytes)
- Donations By Cadence Vassilios.Gerousis@Infineon.Com (Mon Sep 02 2002 - 21:11:49 PDT)
- Gerousis_Vassilios__CL_DAT_.vcf (501 bytes)
- Fwd: comments and remarks referring to the System-Verilog 3.0 LRM Karen Pieper (Wed Sep 11 2002 - 14:00:14 PDT)
- SsytemVerilgo30.ZIP (51845 bytes)
- Meeting Reminder/Agenda for SV-BC meeting Sept 16, 2002 Karen Pieper (Thu Sep 12 2002 - 10:45:43 PDT)
- Issues_02_09_12.xls (28672 bytes)
- Emailing: Issues_02_09_121 David W. Smith (Mon Sep 16 2002 - 09:18:44 PDT)
- Issues_02_09_121.htm (34536 bytes)
- Minutes of the 9/16/02 sv-bc meeting Karen Pieper (Mon Sep 16 2002 - 11:55:05 PDT)
- 02-09-16_minutes.txt (6614 bytes)
- bc_issues_02_09_16.htm (50112 bytes)
- Minutes of the 9/30/02 SV-BC meeting Karen Pieper (Mon Sep 30 2002 - 15:04:54 PDT)
- Issues_02_09_30.htm (50015 bytes)
- 02-09-30_minutes.txt (4776 bytes)
- SV Chairs Presentations From September face to face meeting Vassilios.Gerousis@Infineon.Com (Mon Oct 14 2002 - 04:44:02 PDT)
- SV-Chairs-Presentations.zip (79700 bytes)
- SV-BC Minutes for 10/14/02 Karen Pieper (Mon Oct 14 2002 - 11:18:26 PDT)
- bc_issues_02_10_14.htm (99914 bytes)
- 02-10-14_minutes.txt (4229 bytes)
- Minutes From September face to face meeting Tom Fitzpatrick (Mon Oct 21 2002 - 06:45:26 PDT)
- SystemVerilogMinutesSep17.pdf (178565 bytes)
- Minutes of the 10/28/02 SV-BC Meeting Karen Pieper (Mon Oct 28 2002 - 11:11:04 PST)
- bc_issues_02_10_28.htm (150942 bytes)
- 02-10-28_minutes.txt (5099 bytes)
- Revised minutes of the 10/28/02 SV- BC meeting Karen Pieper (Mon Oct 28 2002 - 14:35:05 PST)
- 02-10-28_minutes_v2.txt (5100 bytes)
- 1364-2001 issue 155 Shalom Bresticker (Tue Oct 29 2002 - 01:16:16 PST)
- 155.html (2767 bytes)
- Agenda for the 11/15 Meeting Karen Pieper (Sat Nov 02 2002 - 17:03:52 PST)
- Agenda_02_11_15.txt (16674 bytes)
- Agenda for 11/11/02 Meeting Karen Pieper (Fri Nov 08 2002 - 16:45:08 PST)
- Agenda_02_11_15.txt (17001 bytes)
- SsytemVerilgo30.txt (81870 bytes)
- Minutes of the 11/11/02 SV-BC Meeting Karen Pieper (Mon Nov 11 2002 - 11:32:45 PST)
- 02-11-11_minutes.txt (5623 bytes)
- SV-BC-19 issues Jacobi, Dan (Mon Nov 11 2002 - 12:42:36 PST)
- SystemVerilgo30.ZIP (47670 bytes)
- The issues spreadsheet Karen Pieper (Mon Nov 11 2002 - 14:05:05 PST)
- bc_issues_02_11_11.htm (156776 bytes)
- Phone numbers and revised agenda for 11/15/02 Karen Pieper (Wed Nov 13 2002 - 17:22:10 PST)
- Agenda_02_11_15.txt (17128 bytes)
- Fwd: SV_BC_Nov15.ppt Karen Pieper (Thu Nov 14 2002 - 18:04:11 PST)
- SV_BC_Nov15.ppt (128000 bytes)
- Minutes of the 11/15/02 Meeting Karen Pieper (Mon Nov 18 2002 - 17:46:26 PST)
- 02-11-15_minutes.txt (30968 bytes)
- BC_issues_02_11_15.htm (58629 bytes)
- tele-call meeting agenda for next Monday, Nov 25 Srouji, Johny (Fri Nov 22 2002 - 02:39:03 PST)
- 02-11-11_minutes.txt (5623 bytes)
- 02-11-15_minutes.txt (30968 bytes)
- BC_issues_02_11_15.htm (58629 bytes)
- 02-11-25-agenda.htm (28283 bytes)
- Logic Data Types need fixing Clifford E. Cummings (Tue Nov 26 2002 - 16:50:11 PST)
- logic_yuck_200211.PDF (10063 bytes)
- Re: Clarification for SV-BC18h and 18i Shalom Bresticker (Wed Nov 27 2002 - 02:51:07 PST)
- 54.html (14922 bytes)
- Interface Notes - 20021127 Clifford E. Cummings (Wed Nov 27 2002 - 15:59:55 PST)
- Interface_notes_20021127.PDF (7895 bytes)
- Minutes of the 11/25/02 SV-BC Meeting Srouji, Johny (Thu Nov 28 2002 - 09:09:13 PST)
- 02-11-25_minutes.txt (9625 bytes)
- bc_issues_02_11_25.htm (59994 bytes)
- FW: Proposed changes to the BNF - Document Srouji, Johny (Thu Nov 28 2002 - 09:30:59 PST)
- SsytemVerilgo30.zip (46555 bytes)
- Two minor suggestions for enriching the system verilog syntax Eric Olson (Mon Dec 02 2002 - 15:52:19 PST)
- implicit_partial_match.txt (866 bytes)
- liblist_star.txt (1607 bytes)
- Cadence Technical Analysis of System Verilog Jay Lawrence (Wed Dec 04 2002 - 18:10:38 PST)
- Cadence_SV_Analysis_Dec02.pdf (301434 bytes)
- tele-call meeting agenda for next Monday, Dec 9th Srouji, Johny (Fri Dec 06 2002 - 14:23:51 PST)
- 02-11-25_minutes.txt (9625 bytes)
- bc_issues_02_11_25.htm (59994 bytes)
- 02-12-09_agenda.htm (15791 bytes)
- December 4 - SystemVerilog Full Committee Slides Vassilios.Gerousis@Infineon.Com (Sun Dec 08 2002 - 15:06:42 PST)
- SV-December20023-Slides.zip (297644 bytes)
- SV-BC10 - Enumerated Types Waveform Display example - Cliff Cummings action item Clifford E. Cummings (Sun Dec 08 2002 - 22:31:59 PST)
- BC10_Enum.PDF (16572 bytes)
- sv-bc Status Slides Vassilios.Gerousis@Infineon.Com (Mon Dec 09 2002 - 16:32:24 PST)
- SV-BC-Status_02_12_04.pdf (105031 bytes)
- Logic Data Type Proposals - 20021209 Clifford E. Cummings (Mon Dec 09 2002 - 17:50:23 PST)
- Logic_20021209.PDF (12874 bytes)
- Simple edits for Voting Karen Pieper (Fri Dec 13 2002 - 15:30:04 PST)
- Easy_Issues_02_12_13.txt (4795 bytes)
- Consolidated changes passed through 11/25 Karen Pieper (Fri Dec 13 2002 - 17:43:31 PST)
- Edits_As_Of_02_12_13.htm (19582 bytes)
- Minutes of the 12/09/02 SV-BC Meeting Srouji, Johny (Sun Dec 15 2002 - 10:34:59 PST)
- 02-12-09_minutes.txt (5707 bytes)
- BC10_Enum.PDF (16572 bytes)
- Peter-action-items.txt (7114 bytes)
- Open_Actions_02_12_09.txt (2684 bytes)
- Clarification of SV-BC 18h and 18i rev 0.2 Dave Rich (Thu Dec 19 2002 - 16:16:00 PST)
- SV-BC-18h-i.pdf (27232 bytes)
- SV-BC Edits as of 12/20/02 Karen Pieper (Fri Dec 20 2002 - 13:12:32 PST)
- Edits_As_Of_02_12_20.doc (48640 bytes)
- Passed simple changes Karen Pieper (Fri Dec 20 2002 - 13:14:41 PST)
- Easy_Issues_02_12_13.htm (4370 bytes)
- Re: Cadence Technical Analysis of System Verilog Jayant Nagda (Fri Dec 20 2002 - 14:56:21 PST)
- ResponseToCadenceTechAnalysisSV.pdf (63753 bytes)
- Cadence_SV_Analysis_Dec02.pdf (301434 bytes)
- Agenda for our next SV-BC tele-call on Monday, January 6th Srouji, Johny (Fri Jan 03 2003 - 07:05:59 PST)
- BC_Issues_03_01_02.htm (163777 bytes)
- Peter-action-items.txt (7114 bytes)
- 03-01-06_agenda.htm (14137 bytes)
- Easy_Issues_02_12_13.htm (4370 bytes)
- Open_Actions_02_12_09.txt (2684 bytes)
- sv-ec-issues-list.htm (8428 bytes)
- 02-12-09_minutes.txt (5707 bytes)
- SV-BC-18h-i.pdf (27232 bytes)
- Initial proposal for "granularity of resolution" Gordon Vreugdenhil (Mon Jan 06 2003 - 13:46:21 PST)
- static_prefix.doc (25088 bytes)
- updated BNF issues for the next SV-BC tele-call Jacobi, Dan (Sun Jan 12 2003 - 05:56:19 PST)
- SystemVerilog30.ZIP (50258 bytes)
- SystemVerilog30.ZIP (54793 bytes)
- Minutes of 01/06/03 SV-BC meeting Srouji, Johny (Mon Jan 13 2003 - 05:08:24 PST)
- 03-01-06_minutes.doc (52224 bytes)
- Open_Actions_03_01_06.txt (3273 bytes)
- 02-12-09_minutes.txt (5743 bytes)
- 03-01-06_minutes.htm (47861 bytes)
- BC_Issues_03_01_06.htm (166808 bytes)
- Agenda for next SV-BC tele-call on Wed, Jan 15 2003 Srouji, Johny (Mon Jan 13 2003 - 05:15:39 PST)
- BC_Issues_03_01_06.htm (166808 bytes)
- Re: SV-BC2 - timescale vs timeunit Dave Rich (Mon Jan 13 2003 - 09:06:50 PST)
- timeunit.pdf (17294 bytes)
- Minutes of the 1/15/03 SV-BC meeting on BNF Karen Pieper (Wed Jan 15 2003 - 19:08:23 PST)
- 03-01-15.txt (4969 bytes)
- BC_Issues_03_01_15.xls (51200 bytes)
- Updated Issues list Karen Pieper (Thu Jan 16 2003 - 13:46:25 PST)
- bc_issues_03_01_15.htm (117567 bytes)
- [sv-bc] Re: SV-BC2 - timescale vs timeunit Dave Rich (Fri Jan 17 2003 - 14:27:37 PST)
- timeunit.pdf (17294 bytes)
- [sv-bc] Dan Jacobi's ARs from 15/01/03 Jacobi, Dan (Sat Jan 18 2003 - 12:07:05 PST)
- AR.doc (29696 bytes)
- AR.htm (21542 bytes)
- [sv-bc] SV-BC tele-call meeting MONDAY JAN 20 - short agenda Srouji, Johny (Sun Jan 19 2003 - 09:12:46 PST)
- 03-01-15.txt (4969 bytes)
- 03-01-06_minutes.htm (47861 bytes)
- Open_Actions_03_01_06.txt (3273 bytes)
- bc_issues_03_01_15.htm (117567 bytes)
- [sv-bc] SV-BC 01/06/03 meeting minutes - fixed version Srouji, Johny (Mon Jan 20 2003 - 15:37:56 PST)
- 03-01-06_minutes.htm (47861 bytes)
- [sv-bc] SV-BC: Minutes of our 01/20/03 tele-call Meeting Srouji, Johny (Mon Jan 20 2003 - 15:38:04 PST)
- BC_Issues_03_01_20.htm (162995 bytes)
- Open_Actions_03_01_20.txt (2879 bytes)
- 03-01-20_minutes.htm (22144 bytes)
- [sv-bc] SV-BC: Our F2F Meeting Agenda Srouji, Johny (Tue Jan 21 2003 - 16:24:32 PST)
- BC_Issues_03_01_20.htm (162995 bytes)
- 03_01_22-F2F.ppt (137216 bytes)
- [sv-bc] SV-BC F2F minutes from 22 January 2003 meeting Srouji, Johny (Fri Jan 24 2003 - 17:36:37 PST)
- 03-01-22_minutes.txt (7251 bytes)
- Open_Actions_03_01_22.txt (2990 bytes)
- BC_Issues_03_01_22.htm (166818 bytes)
- [sv-bc] Proposal for SV-BC-10b-1 VCD masquerading Dave Rich (Sat Jan 25 2003 - 09:10:56 PST)
- VCD_data.pdf (17500 bytes)
- Re: [sv-bc] Foils from Jan 22 F2F: Unpacked Array Literal & Other Missing Conveniences Peter Flake (Mon Jan 27 2003 - 08:26:09 PST)
- ArrayStruct.doc (31744 bytes)
- [sv-bc] SV-EC Proposal: Implicit Universal Data Type - Cliff Cummings to champion the proposal Clifford E. Cummings (Mon Jan 27 2003 - 15:37:39 PST)
- CummingsHDLCON2000_RegProposal_rev1_1.zip (64011 bytes)
- [sv-bc] updated BNF Issues document Jacobi, Dan (Tue Jan 28 2003 - 09:14:28 PST)
- SystemVerilog30DOC.zip (59690 bytes)
- SystemVerilog30HTML.zip (51198 bytes)
- Re: [sv-bc] SV-BC BNF meeting tomorrow (January 29) Karen Pieper (Tue Jan 28 2003 - 16:20:09 PST)
- BC_Issues_03_01_22.xls (54272 bytes)
- [sv-bc] Minutes of the 1/29/03 BNF meeting Karen Pieper (Wed Jan 29 2003 - 13:54:26 PST)
- bc_issues_03_01_29.htm (125564 bytes)
- Open_Actions_03_01_29.txt (3152 bytes)
- 03-01-29.txt (5336 bytes)
- [sv-bc] Clarification of SV-BC-18h,i Dave Rich (Fri Jan 31 2003 - 16:28:44 PST)
- net_reg_logic.pdf (21886 bytes)
- [sv-bc] Agenda for our tele-call on Monday, Feb 3rd 2003 Srouji, Johny (Sun Feb 02 2003 - 00:00:36 PST)
- bc_issues_03_01_29.htm (125564 bytes)
- 03-01-29_minutes.doc (33792 bytes)
- 03-01-22_minutes.txt (7251 bytes)
- Open_Actions_03_01_29.txt (3152 bytes)
- RE: [sv-bc] Agenda for our tele-call on Monday, Feb 3rd 2003 Srouji, Johny (Mon Feb 03 2003 - 08:41:35 PST)
- 03-01-29.txt (5336 bytes)
- [sv-bc] corrected version of the minutes from 1/20/03 Srouji, Johny (Mon Feb 03 2003 - 08:56:54 PST)
- 03-01-20_minutes.htm (22165 bytes)
- [sv-bc] SystemVerilog 3.0 edits as of 2/3/03 Karen Pieper (Thu Feb 06 2003 - 11:59:44 PST)
- Edits_As_Of_03_02_03.txt (8276 bytes)
- [sv-bc] updated BNF Issues document Jacobi, Dan (Fri Feb 14 2003 - 02:30:25 PST)
- svl30.zip (117863 bytes)
- [sv-bc] Proposal for extern modules Karen Pieper (Fri Feb 14 2003 - 09:15:48 PST)
- extern_proposal.txt (2740 bytes)
- [sv-bc] Re: Proposal for extern modules Clifford E. Cummings (Fri Feb 14 2003 - 10:42:31 PST)
- ExternModules_20030214.PDF (9646 bytes)
- RE: [sv-bc] updated BNF Issues document Jacobi, Dan (Fri Feb 14 2003 - 18:22:18 PST)
- svl30.zip (119438 bytes)
- [sv-bc] SV-BC: Minutes of our 02/03/03 tele-call Meeting Srouji, Johny (Tue Feb 18 2003 - 08:10:52 PST)
- 03-02-03_minutes.htm (34838 bytes)
- bc_issues_03_01_29.htm (125564 bytes)
- Open_Actions_03_01_29.txt (3152 bytes)
- RE: [sv-bc] email voting for several proposals - STATUS UPDATE Srouji, Johny (Tue Feb 18 2003 - 08:58:49 PST)
- email-votes-02_18_03.htm (48397 bytes)
- [sv-bc] Agenda for our tele-call on Monday, Feb 24th 2003 Srouji, Johny (Thu Feb 20 2003 - 11:06:12 PST)
- 03-02-03_minutes.htm (34838 bytes)
- BC_Issues_03_02_20.htm (178639 bytes)
- Open_Actions_03_01_29.txt (3152 bytes)
- [sv-bc] FW: [sv-ec] -- Location - Agenda for Full SV meeting on February 28 Vassilios.Gerousis@Infineon.Com (Sat Feb 22 2003 - 09:32:44 PST)
- map.pdf (260361 bytes)
- [sv-bc] Minutes and status from 2/24/03 SV-BC meeting Karen Pieper (Mon Feb 24 2003 - 13:07:20 PST)
- Open_Actions_03_02_24.txt (2184 bytes)
- BC_Issues_03_02_24.xls (57856 bytes)
- 03_02_24.txt (8477 bytes)
- [sv-bc] SystemVerilog 3.1 Scheduling Semantics David W. Smith (Mon Feb 24 2003 - 16:55:54 PST)
- schedSem-svLRM-v6.pdf (136687 bytes)
- RE: [sv-bc] function external declarations and prototypes Jacobi, Dan (Tue Feb 25 2003 - 17:17:15 PST)
- svl30.zip (119531 bytes)
- [sv-bc] Copy of the DVCon paper on scheduling semantics David W. Smith (Wed Feb 26 2003 - 10:19:42 PST)
- sv31schedsemantics-dvcon03.pdf (141593 bytes)
- [sv-bc] SV-BC Issues list and minutes of the 2/27 meeting Karen Pieper (Fri Feb 28 2003 - 16:21:49 PST)
- 03_02_27.txt (5440 bytes)
- BC_Issues_03_02_26.xls (59392 bytes)
- [sv-bc] Agneda for Monday's teleconference Karen Pieper (Fri Feb 28 2003 - 19:13:54 PST)
- 03_02_27.txt (5440 bytes)
- [sv-bc] Minutes from the 28 February 2003 SV face-to-face. David Smith (Sat Mar 01 2003 - 11:22:56 PST)
- SV-Minutes-2003-February-28.txt (6754 bytes)
- [sv-bc] All Slides from the 28 February 2003 SV face-to-face. Vassilios.Gerousis@Infineon.Com (Sat Mar 01 2003 - 13:25:48 PST)
- SV-Meeting-Slides-2003-February.zip (190648 bytes)
- [sv-bc] Minutes of the 3/3/03 SV-BC Meeting Karen Pieper (Mon Mar 03 2003 - 11:08:23 PST)
- bc_issues_03_03_03.htm (139805 bytes)
- 03_03_03.txt (5881 bytes)
- [sv-bc] Re: Static prefix proposal (always_comb and logic refinements) Gordon Vreugdenhil (Mon Mar 03 2003 - 13:26:02 PST)
- static_prefix_proposal.doc (27648 bytes)
- [sv-bc] Proposal for SV-BC65 Structur literal versus concat proposal Dave Rich (Wed Mar 05 2003 - 09:26:56 PST)
- ArrayStruct.pdf (45372 bytes)
- ArrayStruct_nobars.pdf (43124 bytes)
- [sv-bc] Proposal for SV-BC18f,g Dave Rich (Thu Mar 06 2003 - 01:56:58 PST)
- port_connection_rules.pdf (24843 bytes)
- [sv-bc] Proposal for SV-BC-69 Jacobi, Dan (Thu Mar 06 2003 - 15:39:56 PST)
- VerilogMacrosSemicolons.ZIP (40091 bytes)
- [sv-bc] Agenda for our tele-call on Monday, March 17, 2003 Srouji, Johny (Fri Mar 14 2003 - 07:26:50 PST)
- BC_Issues_03_03_04.xls (64512 bytes)
- 03_03_03.txt (5881 bytes)
- [sv-bc] a short list of new proposals which came during the last week Srouji, Johny (Mon Mar 17 2003 - 08:01:43 PST)
- New-Proposals-03-17-03.htm (14702 bytes)
- [sv-bc] Edits to be included in Draft 4 Karen Pieper (Mon Mar 17 2003 - 16:33:25 PST)
- Edits_As_Of_03_03_17.doc (180224 bytes)
- [sv-bc] SV-BC: Minutes of our 03/17/03 tele-call Meeting Srouji, Johny (Tue Mar 18 2003 - 06:18:37 PST)
- BC_Issues_03_03_17.htm (196718 bytes)
- 03-17-03_minutes.htm (69533 bytes)
- RE: [sv-bc] SV-BC BNF updates Jacobi, Dan (Thu Mar 20 2003 - 01:46:05 PST)
- bnf_changes.doc (82432 bytes)
- [sv-bc] SV-BC: Minutes of our 03/25/03 BNF tele-call Meeting Srouji, Johny (Tue Mar 25 2003 - 09:28:39 PST)
- BC_Issues_03_03_25.htm (196504 bytes)
- 03-25-03_minutes.htm (21575 bytes)
- [sv-bc] BNF changes for System Verilog 3.1 Jacobi, Dan (Wed Mar 26 2003 - 00:46:24 PST)
- bnf_changes2.doc (80384 bytes)
- bnf_changes3.doc (32768 bytes)
- [sv-bc] Date: Sun, 30 Mar 2003 13:33:47 +0300 Srouji, Johny (Sun Mar 30 2003 - 02:36:12 PST)
- BC_Issues_03_03_25.htm (196504 bytes)
- 03-17-03_minutes.htm (69533 bytes)
- 03-25-03_minutes.htm (21575 bytes)
- [sv-bc] Agenda for our tele-call tomorrow (Monday), March 31, 200 3 Srouji, Johny (Sun Mar 30 2003 - 04:11:17 PST)
- BC_Issues_03_03_25.htm (196504 bytes)
- 03-17-03_minutes.htm (69533 bytes)
- 03-25-03_minutes.htm (21575 bytes)
- [sv-bc] SV-BC: Minutes of our 03/31/03 BNF tele-call Meeting Srouji, Johny (Mon Mar 31 2003 - 11:15:15 PST)
- 03-17-03_minutes.htm (70066 bytes)
- 03-31-03_minutes.htm (36633 bytes)
- [sv-bc] SV-BC and SV-EC change conflicts David W. Smith (Mon Mar 31 2003 - 18:31:42 PST)
- EC_BC_Changes.pdf (33243 bytes)
- [sv-bc] Issues with Draft 4 LRM David W. Smith (Thu Apr 03 2003 - 16:06:44 PST)
- Draft_4_Review.pdf (222452 bytes)
- [sv-bc] Review for Annex A and Annex B of the System-Verilog 3.1 Draft 4 Jacobi, Dan (Mon Apr 07 2003 - 04:18:58 PDT)
- review_04_07_03.htm (31614 bytes)
- review_04_07_03.doc (37376 bytes)
- [sv-bc] LRM review issues Arturo Salz (Mon Apr 07 2003 - 11:02:10 PDT)
- LRM-EC-issues.pdf (66226 bytes)
- [sv-bc] review LRM 3.1 Draft 4.0 Srouji, Johny (Thu Apr 10 2003 - 07:53:11 PDT)
- LRM3.1-Draft4-review.htm (101246 bytes)
- [sv-bc] LRM Issues Review Srouji, Johny (Thu Apr 10 2003 - 11:14:10 PDT)
- LRM3.1-Draft4-review.htm (148131 bytes)
- [sv-bc] RE: Review of BNF and Keywords by Dan Jacobi David W. Smith (Thu Apr 10 2003 - 19:18:05 PDT)
- Dan_Jacobi_Review.pdf (154096 bytes)
- [sv-bc] Review of Draft 4 - sections 1, 14, 15 and some other miscellaneous Clifford E. Cummings (Fri Apr 11 2003 - 14:52:28 PDT)
- Draft4_CliffNotes_20030411.pdf (30032 bytes)
- RE: [sv-bc] review of chapter 11 (Classes) David W. Smith (Fri Apr 11 2003 - 15:53:04 PDT)
- Francoise_Ch_11_Comments.pdf (145471 bytes)
- RE: [sv-bc] Comments review on Chapter 12 David W. Smith (Fri Apr 11 2003 - 18:12:30 PDT)
- Francoise_Ch_12.pdf (119335 bytes)
- [sv-bc] Jonathan Bradford review results David W. Smith (Fri Apr 11 2003 - 19:00:10 PDT)
- Bradfords_review.pdf (194627 bytes)
- [sv-bc] RE: [sv-ec] Review of Draft 4 - sections 1, 14, 15 and some other miscellaneous David W. Smith (Fri Apr 11 2003 - 23:02:58 PDT)
- Cliff_Cummings_Review.pdf (252188 bytes)
- [sv-bc] FW: FW: SV-AC LRM review by SV-CC Vassilios.Gerousis@Infineon.Com (Sun Apr 13 2003 - 20:56:56 PDT)
- review1_response0412.txt (46765 bytes)
- review2_response0412.txt (26936 bytes)
- review3_response0412.txt (8090 bytes)
- [sv-bc] Updates to Review of Draft 4 - sections 1, 14, 15 and some other miscellaneous Clifford E. Cummings (Mon Apr 14 2003 - 11:03:41 PDT)
- Draft4_CliffNotes_20030411_followup.pdf (17321 bytes)
- [sv-bc] Draft 4 Changes David W. Smith (Mon Apr 14 2003 - 13:21:05 PDT)
- LRM_Draft_Changes_html.zip (171844 bytes)
- [sv-bc] LRM Request tracking David W. Smith (Mon Apr 14 2003 - 14:21:01 PDT)
- LRM_Requests.xls (17408 bytes)
- [sv-bc] Re: [sv-ec] Updates to Review of Draft 4 - sections 1, 14, 15 and some other miscellaneous Arturo Salz (Thu Apr 17 2003 - 16:44:01 PDT)
- Draft5_Cliff_PF.doc (34304 bytes)
- [sv-bc] Re: [sv-ec] Updates to Review of Draft 4 - sections 1, 14, 15 and some other miscellaneous Clifford E. Cummings (Thu Apr 17 2003 - 17:55:01 PDT)
- Draft5_CliffNotes_20030417_followup.doc (36864 bytes)
- [sv-bc] LRM Draft 5 David W. Smith (Fri Apr 18 2003 - 12:46:38 PDT)
- Draft_5_Review.zip (11824 bytes)
- SanityReview_1-14.htm (55686 bytes)
- SanityReview_15-end.htm (22935 bytes)
- LRM_Changes_26.html (4873 bytes)
- [sv-bc] Cadence Negative Ballot Comment on SystemVerilog 3.1 Jay Lawrence (Thu Apr 24 2003 - 12:30:01 PDT)
- SV31NegativeBallot.pdf (130148 bytes)
- [sv-bc] Summary of voting for all committees David W. Smith (Fri Apr 25 2003 - 10:39:37 PDT)
- SV_Votes.pdf (30917 bytes)
- [sv-bc] SV Chairs and Champions Response to Negative Ballot Comments of C adence Vassilios.Gerousis@Infineon.Com (Fri May 16 2003 - 03:07:30 PDT)
- SVChairsChampionsResponse.pdf (104471 bytes)
- RE: [sv-bc] RE: [sv-ec] Question: logic & reg - what is the diffe rence? Srouji, Johny (Sun Jun 08 2003 - 00:48:37 PDT)
- 03-01-22_minutes.txt (7251 bytes)
- Re: [sv-bc] Separate Compilation Meeting Monday 6/9/03 Randy Misustin (Sun Jun 15 2003 - 22:42:56 PDT)
- Namespaces.html (6027 bytes)
- [sv-bc] SV-BC operation Guidelines Srouji, Johny (Thu Jun 26 2003 - 09:21:32 PDT)
- SV-BC-Operating_Guidelines.doc (81408 bytes)
- SV-BC-Operating_Guidelines.htm (62272 bytes)
- [sv-bc] Scheduling Region Questions and Problems of new SystemVerilog commands Clifford E. Cummings (Mon Jul 21 2003 - 05:16:50 PDT)
- Scheduling_clarification_questions_20030720.PDF (34279 bytes)
- [sv-bc] SV-BC Operating Guidelines Srouji, Johny (Thu Jul 24 2003 - 14:34:09 PDT)
- SV-BC-Operating_Guidelines.htm (64498 bytes)
- [sv-bc] meeting minutes for our July 21 tele-call Srouji, Johny (Thu Jul 24 2003 - 15:44:56 PDT)
- sv-bc_03_07_21.txt (5576 bytes)
- [sv-bc] SV-BC tele-call on Monday, August 4th Srouji, Johny (Fri Aug 01 2003 - 13:46:03 PDT)
- sv-bc_03_07_21.txt (5576 bytes)
- SV-BC-Operating_Guidelines.htm (64498 bytes)
- [sv-bc] Proposal to change interface ref-port default mode -or- documentation Clifford E. Cummings (Wed Aug 20 2003 - 18:27:09 PDT)
- RefPort_Concerns.PDF (10528 bytes)
- [sv-bc] list of some errata, remarks, questions, and proposals Thomas.Kruse@Infineon.Com (Thu Sep 04 2003 - 07:04:22 PDT)
- Issues_SV3.1_final.doc (98816 bytes)
- [sv-bc] FW: Proposal: Reconciliation of SystemVerilog BNF with 5 recent Verilog errata fixes David W. Smith (Mon Sep 08 2003 - 10:15:57 PDT)
- BNF_reconciliation.htm (10003 bytes)
- [sv-bc] Bluespec SystemVerilog Design Extensions Donation Vassilios.Gerousis@Infineon.Com (Tue Sep 09 2003 - 22:22:16 PDT)
- BluespecSubmission_1.pdf (155380 bytes)
- [sv-bc] Proposal: Default Interface Ports & Ref Port Modifications Clifford E. Cummings (Mon Sep 15 2003 - 00:08:38 PDT)
- RefPort_Proposal_20030915.PDF (29901 bytes)
- [sv-bc] Proposals for SV3.1a Dave Rich (Tue Sep 16 2003 - 00:00:11 PDT)
- Overload2.pdf (34921 bytes)
- Function2.pdf (33781 bytes)
- modport_expressions.pdf (33137 bytes)
- arrayq.pdf (36870 bytes)
- [sv-bc] Revised proposal on Tagged Unions and Pattern Matching Rishiyur S. Nikhil (Fri Oct 03 2003 - 15:04:49 PDT)
- TaggedUnionsProposal2.pdf (167757 bytes)
- [sv-bc] PROPOSAL: Reconciliation of SystemVerilog BNF with 8 recent Verilog errata fixes Brad Pierce (Mon Oct 06 2003 - 17:04:44 PDT)
- BNF_reconciliation2.htm (16154 bytes)
- [sv-bc] PROPOSAL for SV-BC Issue 70 Brad Pierce (Fri Oct 10 2003 - 17:23:36 PDT)
- SV_BC.ISSUE_70.htm (39367 bytes)
- [sv-bc] PROPOSAL for SV-BC Issue 57 Brad Pierce (Fri Oct 10 2003 - 18:48:22 PDT)
- SV_BC.ISSUE_57.htm (40567 bytes)
- [sv-bc] Updated proposal for SV-BC-49 operator overload Dave Rich (Sun Oct 12 2003 - 10:39:33 PDT)
- Overload.pdf (38157 bytes)
- Overload_bars.pdf (40798 bytes)
- [sv-bc] Committee F2F meeting in Santa Clara - Monday, November 10 Srouji, Johny (Wed Oct 15 2003 - 08:54:41 PDT)
- Nov_03-F2F-attendees.htm (15256 bytes)
- [sv-bc] RE: [sv-ec] list of some errata, remarks, questions, and proposals David W. Smith (Fri Oct 17 2003 - 15:01:03 PDT)
- Response_to_Thomas_Kruse.pdf (145703 bytes)
- [sv-bc] Re: BNF problems with type declarations Brad Pierce (Tue Oct 28 2003 - 14:53:31 PST)
- user_defined_types.htm (40181 bytes)
- [sv-bc] PROPOSAL: parameter port declarations Brad Pierce (Tue Oct 28 2003 - 18:54:29 PST)
- parameter_port_declaration.htm (43490 bytes)
- PROPOSAL (BNF) constant_declaration (WAS: [sv-bc] Are const unpacked arrays allowed?) Brad Pierce (Fri Oct 31 2003 - 12:54:22 PST)
- constant_declaration.htm (39018 bytes)
- [sv-bc] SV-BC F2F Meeting next Monday, November 17th Srouji, Johny (Tue Nov 04 2003 - 08:05:52 PST)
- F2F-Agenda.htm (28538 bytes)
- [sv-bc] Erratum and PROPOSAL (BNF) -- wire declaration assignments with unpacked dimensions Brad Pierce (Tue Nov 04 2003 - 11:22:42 PST)
- net_declaration_assignment.htm (37145 bytes)
- [sv-bc] Proposal for sv-bc Issue 67 (memory data files) Warmke, Doug (Tue Nov 04 2003 - 14:43:14 PST)
- sv-bc-issue-67.txt (6827 bytes)
- [sv-bc] SV-BC F2F Meeting next Monday, November 17th (modified agenda) Srouji, Johny (Wed Nov 05 2003 - 08:06:31 PST)
- F2F-Agenda.htm (28887 bytes)
- RE: [sv-bc] SV-BC F2F Meeting next Monday, November 10th Srouji, Johny (Thu Nov 06 2003 - 01:00:04 PST)
- F2F-Agenda.htm (30287 bytes)
- [sv-bc] Proposal for Data Type Expressions Maidment, Matthew R (Fri Nov 07 2003 - 13:36:09 PST)
- SVDataTypeExpressions.pdf (146708 bytes)
- [sv-bc] Erratum and PROPOSAL (BNF) -- module instantiation Brad Pierce (Sat Nov 08 2003 - 15:50:22 PST)
- named_port_connections.htm (39907 bytes)
- [sv-bc] Revised SV-BC #67 proposal Warmke, Doug (Tue Nov 11 2003 - 16:25:25 PST)
- sv-bc-issue-67-rev2.txt (7158 bytes)
- [sv-bc] SV-BC F2F Meeting Minutes of Nov 10th Srouji, Johny (Wed Nov 12 2003 - 00:01:06 PST)
- F2F-Minutes.htm (62832 bytes)
- [sv-bc] Erratum and PROPOSAL (BNF) for lists of arguments Brad Pierce (Wed Nov 12 2003 - 09:04:23 PST)
- lists_of_arguments.htm (38425 bytes)
- PROPOSAL (BNF) for empty tf_port_list (Was: [sv-bc] task/function_declaration with empty port_list) Brad Pierce (Thu Nov 13 2003 - 09:38:35 PST)
- empty_tf_port_lists.htm (37581 bytes)
- [sv-bc] PROPOSAL for sv-bc issue 115 -- Are enum identifiers elaboration time constants Brad Pierce (Thu Nov 13 2003 - 10:47:19 PST)
- enumerated_names.htm (38597 bytes)
- [sv-bc] Erratum and PROPOSAL (BNF) -- timeunits_declaration Brad Pierce (Thu Nov 13 2003 - 19:22:20 PST)
- timeunits_declaration.htm (37984 bytes)
- [sv-bc] Accellera presentation on Assumptions/constraints Surrendra Dudani (Fri Nov 14 2003 - 13:05:50 PST)
- sv-ac-3.1a-assumptions.ppt (249856 bytes)
- [sv-bc] Proposal for SV-BC-81 Dave Rich (Sat Nov 15 2003 - 14:11:56 PST)
- enums.pdf (85625 bytes)
- PROPOSAL (BNF) on for-loops, declarations, etc. (Was: [sv-bc] remove semicolon in variable_declaration) Brad Pierce (Mon Nov 17 2003 - 09:12:32 PST)
- declarations_for_loops_and_examples.htm (64996 bytes)
- [sv-bc] Revised PROPOSAL (BNF) for user-defined types (Was: BNF problems with type declarations) Brad Pierce (Mon Nov 17 2003 - 09:45:40 PST)
- user_defined_types_revised.htm (40057 bytes)
- [sv-bc] PROPOSAL (BNF) -- updates from 1364 VSG Brad Pierce (Mon Nov 17 2003 - 13:50:28 PST)
- vsg_3.htm (39440 bytes)
- [sv-bc] Overload update Peter Flake (Mon Nov 17 2003 - 15:02:43 PST)
- Overload5.doc (31744 bytes)
- [sv-bc] FW: overload proposal Srouji, Johny (Mon Nov 17 2003 - 22:40:47 PST)
- Overload5.doc (31744 bytes)
- ATT935393.txt (0 bytes)
- [sv-bc] New proposal for SV-103 Dave Rich (Tue Nov 18 2003 - 23:24:10 PST)
- sized_enum.pdf (11564 bytes)
- [sv-bc] Revised PROPOSAL for SV-BC Issue 61 Brad Pierce (Wed Nov 19 2003 - 11:02:34 PST)
- revised_61_proposal.htm (43814 bytes)
- [sv-bc] Errata for ERR-6 and SV-BC-72 Dave Rich (Wed Nov 19 2003 - 23:47:40 PST)
- inside.pdf (45642 bytes)
- [sv-bc] Erratum and PROPOSAL (BNF) -- local redefinition of types declared in interfaces Brad Pierce (Thu Nov 20 2003 - 13:05:52 PST)
- corrected_to_issue_104.htm (37227 bytes)
- Re: [sv-bc] PROPOSAL (BNF) -- updates from 1364 VSG Brad Pierce (Thu Nov 20 2003 - 13:10:23 PST)
- vsg_3_corrected.htm (39246 bytes)
- [sv-bc] revised Inside operator spec SV-BC 139 Dave Rich (Sun Nov 23 2003 - 23:08:16 PST)
- inside.pdf (46399 bytes)
- [sv-bc] Proposal for SV-BC Issue 75 Rishiyur S. Nikhil (Tue Nov 25 2003 - 13:36:07 PST)
- ParamDependence.htm (5582 bytes)
- [sv-bc] 'Master' and 'slave' labels unacceptable Dave Rich (Wed Nov 26 2003 - 15:09:42 PST)
- www.cnn.com_2003_TECH_ptech_11_26_master.term.reut_index.html (38721 bytes)
- [sv-bc] Amendment to the compilation unit definition David W. Smith (Thu Dec 04 2003 - 20:44:08 PST)
- CUAmmend.pdf (14896 bytes)
- [sv-bc] Updated proposal SV-BC-53 Expand array querying functions Dave Rich (Fri Dec 05 2003 - 09:01:26 PST)
- arrayq_v2.pdf (71644 bytes)
- [sv-bc] Revised proposal for SV-BC 135 that incorporates the friendly amendments Brad Pierce (Fri Dec 05 2003 - 10:56:17 PST)
- SV-BC-135_final.htm (56604 bytes)
- [sv-bc] Reworked Tagged Unions Proposal Rishiyur S. Nikhil (Fri Dec 05 2003 - 11:11:42 PST)
- 2003-11-19_TaggedUnions_Proposal.pdf (152579 bytes)
- Re: [sv-bc] UPDATE: Reworked Tagged Unions Proposal Rishiyur S. Nikhil (Fri Dec 05 2003 - 11:23:50 PST)
- 2003-12-05_TaggedUnions_Proposal.pdf (129139 bytes)
- [sv-bc] Revised proposal for SV-BC-53 Dave Rich (Sun Dec 07 2003 - 21:18:27 PST)
- arrayq-v3.pdf (75644 bytes)
- [sv-bc] Tagged Unions Proposal incorporating 12/8 amendments Rishiyur S. Nikhil (Tue Dec 09 2003 - 09:52:31 PST)
- 2003-12-09_TaggedUnions_Proposal.pdf (130701 bytes)
- Re: [sv-bc] enum ranges Brad Pierce (Tue Dec 09 2003 - 15:40:05 PST)
- enum_names.htm (42157 bytes)
- [sv-bc] Erratum and PROPOSAL (BNF) -- net declarations Brad Pierce (Thu Dec 11 2003 - 09:07:11 PST)
- net_declarations.htm (36620 bytes)
- [sv-bc] Erratum and PROPOSAL (BNF) -- tagged unions Brad Pierce (Thu Dec 11 2003 - 09:35:19 PST)
- tagged_unions.htm (41185 bytes)
- [sv-bc] Interface section 19 documentation updates proposal Clifford E. Cummings (Thu Dec 11 2003 - 10:16:25 PST)
- Interfaces_markup_v3_20031211.doc (59392 bytes)
- [sv-bc] Section 19 updates - alias removed - comments please! Clifford E. Cummings (Thu Dec 11 2003 - 11:22:54 PST)
- Interfaces_markup_v4_200312111.doc (56832 bytes)
- [sv-bc] Interface Section - Proposed Description Modifications Clifford E. Cummings (Mon Jan 05 2004 - 14:04:27 PST)
- Interfaces_markup_v5_20040105.doc (56832 bytes)
- [sv-bc] email voting on Cliff's proposal Srouji, Johny (Tue Jan 06 2004 - 00:49:33 PST)
- Interfaces_markup_v5_20040105.ZIP (12889 bytes)
- [sv-bc] Dave Rich & Peter Flakes comments on Cliff's interface proposal Clifford E. Cummings (Thu Jan 08 2004 - 12:53:24 PST)
- Interfaces_markup_v6_20040108.doc (58368 bytes)
- [sv-bc] Array of instances (BNF cleanup) -- PROPOSAL Brad Pierce (Wed Jan 14 2004 - 16:46:43 PST)
- instance_array_BNF.htm (41855 bytes)
- [sv-bc] Proposal for SV-BC-105 aggregate expressions vs concatenation Dave Rich (Thu Jan 15 2004 - 10:05:36 PST)
- agg.pdf (33411 bytes)
- [sv-bc] Subroutine BNF cleanup (PROPOSAL) Brad Pierce (Fri Jan 16 2004 - 14:33:54 PST)
- subroutine.htm (48019 bytes)
- subroutine.htm (47992 bytes)
- Re: [sv-bc] 2 erratas Brad Pierce (Fri Jan 16 2004 - 16:33:39 PST)
- class_declarations.htm (39636 bytes)
- Re: [sv-bc] Proposal for SV-BC-105 aggregate expressions vs concatenation Dave Rich (Sat Jan 17 2004 - 00:28:22 PST)
- agg-2.pdf (33382 bytes)
- [sv-bc] const keyword on automatic variables -- erratum and PROPOSAL Brad Pierce (Thu Feb 05 2004 - 18:08:26 PST)
- const_keyword.htm (37819 bytes)
- RE: [sv-bc] RE: [sv-ec] LRM-193 through LRM-195 David W. Smith (Fri Feb 06 2004 - 12:07:07 PST)
- LRM_Changes_18.html (7458 bytes)
- [sv-bc] Review of LRM Section 3 Rishiyur S. Nikhil (Tue Feb 10 2004 - 14:34:59 PST)
- LRM_Section3_Review_Nikhil.txt (18101 bytes)
- [sv-bc] ADDENDUM: Review of LRM Section 3 Rishiyur S. Nikhil (Tue Feb 10 2004 - 15:37:58 PST)
- LRM_Section3_Review_Nikhil_addendum.txt (1304 bytes)
- RE: [sv-bc] Is TIME integer or non_integer type? Brad Pierce (Thu Feb 12 2004 - 13:43:17 PST)
- time_variables_SV_BC_156.htm (37785 bytes)
- [sv-bc] Port declarations (BNF) PROPOSAL Brad Pierce (Fri Feb 13 2004 - 15:09:45 PST)
- port_declarations_bnf.htm (61369 bytes)
- RE: [sv-bc] struct_union_member BNF Brad Pierce (Fri Feb 13 2004 - 16:03:21 PST)
- struct_union_members.htm (38341 bytes)
- [sv-bc] Minor BNF PROPOSAL and some typos Brad Pierce (Mon Feb 16 2004 - 11:16:55 PST)
- procedures_bnf.htm (45526 bytes)
- [sv-bc] Draft 5 Changes Review Request David W. Smith (Thu Feb 19 2004 - 17:36:16 PST)
- list.pdf (9871 bytes)
- [sv-bc] Erratum (BNF) in hierarchical_identifier Brad Pierce (Fri Feb 20 2004 - 17:13:30 PST)
- hierarchical_identifier_bnf.htm (41842 bytes)
- [sv-bc] Review of Draft 5 Changes (Urgent)! David W. Smith (Tue Feb 24 2004 - 15:28:09 PST)
- list2.pdf (9668 bytes)
- [sv-bc] Review of Draft 5 Changes (Urgent-again)! David W. Smith (Wed Feb 25 2004 - 11:47:57 PST)
- list2.pdf (9662 bytes)
- [sv-bc] Typos in cond_predicate BNF Brad Pierce (Sun Feb 29 2004 - 18:00:35 PST)
- cond_predicate.htm (38854 bytes)
- [sv-bc] main timelines for voting on sv3.1a Srouji, Johny (Mon Mar 01 2004 - 08:25:30 PST)
- Draft-6-voting.htm (14894 bytes)
- Draft-6-voting.doc (30208 bytes)
- [sv-bc] Clarifications and typos Brad Pierce (Mon Mar 08 2004 - 12:23:01 PST)
- design_clarifications.htm (49204 bytes)
- [sv-bc] Subroutine prototype BNF fixes Brad Pierce (Mon Mar 08 2004 - 16:02:39 PST)
- subroutine_prototype_bnf.htm (57608 bytes)
- [sv-bc] constant_select and range BNF fixes Brad Pierce (Wed Mar 10 2004 - 16:34:47 PST)
- range_and_select_bnf.htm (54366 bytes)
- Re: [sv-bc] constant_select and range BNF fixes Brad Pierce (Wed Mar 10 2004 - 16:53:03 PST)
- range_and_select_bnf2.htm (55774 bytes)
- [sv-bc] Final voting on sv3.1a Draft 5 + changes Srouji, Johny (Thu Mar 11 2004 - 02:38:24 PST)
- 6-voting.htm (14894 bytes)
- RE: Errata - RE : [sv-bc] A question about type casting Maidment, Matthew R (Wed Mar 24 2004 - 08:41:09 PST)
- svconveniences.pdf (113023 bytes)
- [sv-bc] Erratta - Change to casting rules Dave Rich (Fri Apr 16 2004 - 14:32:40 PDT)
- cast.pdf (18041 bytes)
- [sv-bc] Erratum proposal -- for-loop variable declarations Brad Pierce (Tue May 11 2004 - 08:51:14 PDT)
- for_loop_initialization.htm (38128 bytes)
- [sv-bc] Hey, ya! =)) Karen.Pieper@synopsys.com (Tue May 11 2004 - 23:58:34 PDT)
- [sv-bc] Erratum and proposal in casting BNF Brad Pierce (Mon Jun 14 2004 - 12:08:36 PDT)
- casting_type.htm (40791 bytes)
- [sv-bc] Hey, dude, it's me ^_^ :P Peter.Flake@synopsys.com (Wed Jul 14 2004 - 22:15:54 PDT)
- AttachedDocument.zip (42501 bytes)
- Re: [sv-bc] RE: SystemVerilog Errata Meeting on August 6. Brad Pierce (Sun Aug 08 2004 - 07:18:43 PDT)
- Minutes_of_the_SystemVerilog_errata_meeting.htm (15291 bytes)
- [sv-bc] 1800 P&P Michael McNamara (Mon Aug 16 2004 - 10:36:03 PDT)
- P_P-2004-08-04.pdf (50535 bytes)
- [sv-bc] Agenda for Monday Aug 30th Conference Call Maidment, Matthew R (Fri Aug 27 2004 - 12:34:16 PDT)
- Operating_Guidelines.doc (46592 bytes)
- [sv-bc] Aggregate expressions 254 revised proposal Brad Pierce (Thu Nov 04 2004 - 11:04:39 PST)
- aggregate_constructors6.htm (110783 bytes)
- RE: [sv-bc] Errata in SV 3.1a LRM Section 18.4: inconsistent use of error and warning Stuart Sutherland (Wed Nov 10 2004 - 13:20:01 PST)
- SV_LRM_section_8.4_changes.pdf (17472 bytes)
- [sv-bc] Picture Kathy McKinley (Thu Nov 11 2004 - 11:43:12 PST)
- datatypes.doc (28672 bytes)
- [sv-bc] DataTypes: Datatypes on Nets Kathy McKinley (Thu Nov 11 2004 - 19:13:51 PST)
- net_datatypes.doc (88576 bytes)
- [sv-bc] Data Types on Nets Proposal (pdf) Maidment, Matthew R (Sun Nov 14 2004 - 23:20:50 PST)
- 11-11.pdf (85029 bytes)
- [sv-bc] Deadline for detailed feedback on Data Types on Nets Proposal Maidment, Matthew R (Mon Nov 15 2004 - 11:25:02 PST)
- 11-11.pdf (85029 bytes)
- Re: [sv-bc] Errata in SV 3.1a LRM Section 18.4: inconsistent use of error and warning Brad Pierce (Tue Nov 16 2004 - 10:38:39 PST)
- unique_case_if_218.htm (63372 bytes)
- RE: [sv-bc] DataTypes: 11/18/04 Meeting Minutes Brad Pierce (Thu Nov 18 2004 - 14:02:25 PST)
- data_types_on_nets_BNF_2.htm (68786 bytes)
- Re: [sv-bc] DataTypes: 11/18/04 Meeting Minutes Brad Pierce (Thu Nov 18 2004 - 15:44:50 PST)
- data_types_on_nets_BNF_3.htm (72695 bytes)
- [sv-bc] DataTypes: preliminary revision 2 Kathy McKinley (Thu Nov 18 2004 - 19:30:57 PST)
- net_datatypes.doc (94720 bytes)
- [sv-bc] DataTypes: Revision 3 in MSWord Kathy McKinley (Fri Nov 19 2004 - 08:24:17 PST)
- net_datatypes3.doc (143360 bytes)
- RE: [sv-bc] DataTypes: Revision 3 in MSWord Warmke, Doug (Fri Nov 19 2004 - 09:16:31 PST)
- net_datatypes3.pdf (208165 bytes)
- RE: [sv-bc] DataTypes: Revision 3 in MSWord Stuart Sutherland (Fri Nov 19 2004 - 09:33:03 PST)
- net_datatypes3.pdf (243418 bytes)
- [sv-bc] DataTypes: Revision 3 in PDF Kathy McKinley (Fri Nov 19 2004 - 09:59:09 PST)
- net_datatypes3.pdf (243418 bytes)
- [sv-bc] SV_BC #26 - Enumerated Literals in Packages - Feedback Requested Clifford E. Cummings (Tue Nov 23 2004 - 15:34:17 PST)
- Proposal_26_20041123.pdf (19594 bytes)
- [sv-bc] Proposal for compatibility problems with mixed Verilog/SystemVerilog code Stuart Sutherland (Mon Nov 29 2004 - 18:06:05 PST)
- P1800_keyword_compatibility_directive_proposal.pdf (21642 bytes)
- [sv-bc] Proposal to make it easier to use packages with port declarations Stuart Sutherland (Tue Nov 30 2004 - 01:07:07 PST)
- P1800_import_port_decls_proposal.pdf (17563 bytes)
- [sv-bc] Updated proposal for `keywords compatibility directive Stuart Sutherland (Tue Nov 30 2004 - 15:37:10 PST)
- 2.pdf (23529 bytes)
- [sv-bc] Updated proposal for `keywords compatibility directive Stuart Sutherland (Mon Dec 06 2004 - 07:50:52 PST)
- 3.pdf (27413 bytes)
- [sv-bc] Testbench Example - wire/reg datatypes proposal Clifford E. Cummings (Tue Dec 14 2004 - 18:57:07 PST)
- wire_reg_example.uu (13271 bytes)
- wire_reg_proposal.pdf (32681 bytes)
- [sv-bc] Universal Data Types Proposal - Dec 2004 Version Clifford E. Cummings (Thu Dec 16 2004 - 15:46:34 PST)
- tbd_proposal_example_20041216.pdf (29073 bytes)
- [sv-bc] Partial proposals - Issue #266 - Negative vote from Entity #6 Clifford E. Cummings (Sun Apr 03 2005 - 22:58:36 PDT)
- Issue_266_CliffCummings_rev1.pdf (106232 bytes)
- [sv-bc] Re: issue 324 for asymmetric casex Brad Pierce (Tue Apr 05 2005 - 09:09:50 PDT)
- case_inside_324.htm (44846 bytes)
- [sv-bc] Response to Ballot Issue 213 Rich, Dave (Wed Apr 06 2005 - 00:15:59 PDT)
- dpi_vpi.sv (418 bytes)
- top.sv (378 bytes)
- dpi_vpi.c (893 bytes)
- [sv-bc] Issue #266 - Negative vote from Entity #6 - Version #2 Clifford E. Cummings (Mon Apr 11 2005 - 00:18:31 PDT)
- Issue_266_CliffCummings_rev2.pdf (143261 bytes)
- [sv-bc] Where can $typeof() be used? Issues 224 and 277) Brad Pierce (Wed Apr 13 2005 - 14:50:56 PDT)
- P1800_ballot_issue_277.htm (40093 bytes)
- P1800_ballot_issue_224.htm (38630 bytes)
- [sv-bc] Issue #266 - Negative vote from Entity #6 - Version #3 Clifford E. Cummings (Mon Apr 18 2005 - 15:26:18 PDT)
- Issue_266_CliffCummings_rev3.pdf (148126 bytes)
- [sv-bc] Configs Intent - was: potential command line option Clifford E. Cummings (Wed Apr 20 2005 - 18:03:07 PDT)
- ADV01_Configs_51_55.pdf (30324 bytes)
- [sv-bc] Updated P1800_Committee_Assignments_05_03_29.xls Maidment, Matthew R (Thu Apr 21 2005 - 08:21:28 PDT)
- P1800_Committee_Assignments_05_03_29.ZIP (79356 bytes)
- RE: [sv-bc] Email Vote Due May 2 Midnight PDT: Ballot Issues 152, 154, 155, 266, 287 Warmke, Doug (Sat Apr 30 2005 - 20:16:36 PDT)
- 499.htm (72497 bytes)
- [sv-bc] Issue #266 - Rev 5 Clifford E. Cummings (Tue May 03 2005 - 09:29:10 PDT)
- Issue_266_CliffCummings_rev5.pdf (150077 bytes)
- [sv-bc] Issue #266 - Proposal Version 6 Clifford E. Cummings (Wed May 04 2005 - 16:45:15 PDT)
- Issue_266_CliffCummings_rev6.pdf (155357 bytes)
- [sv-bc] RE: P1800 Mantis 505 correction Stuart Sutherland (Tue Jun 07 2005 - 14:35:52 PDT)
- clause06_data_declarations.pdf (232197 bytes)
- [sv-bc] Is this a valid syntax Kausik Datta (Thu Jun 30 2005 - 23:38:19 PDT)
- mail_.vcf (300 bytes)
- Re: [sv-ec] [sv-bc] Semaphore question Clifford E. Cummings (Mon Sep 19 2005 - 12:02:18 PDT)
- SemaphoreExamples.pdf (13089 bytes)
- [sv-bc] p1800/d6p1 comments, mostly editorial Bresticker, Shalom (Wed Oct 26 2005 - 07:45:14 PDT)
- image001.gif (145 bytes)
- Bresticker__Shalom.vcf (343 bytes)
- RE: [sv-bc] p1800/d6p1 comments, mostly editorial Bresticker, Shalom (Thu Oct 27 2005 - 07:24:53 PDT)
- image001.gif (145 bytes)
- [sv-bc] 4.10.4 "Enumerated types in numerical expressions" - unclearness Bresticker, Shalom (Mon Oct 31 2005 - 00:44:59 PST)
- image001.gif (145 bytes)
- Bresticker__Shalom.vcf (343 bytes)
- [sv-bc] 4.11 Structures and Unions Bresticker, Shalom (Mon Oct 31 2005 - 04:04:52 PST)
- Bresticker__Shalom.vcf (343 bytes)
- [sv-bc] 4.14 Casting Bresticker, Shalom (Mon Oct 31 2005 - 06:03:18 PST)
- Bresticker__Shalom.vcf (343 bytes)
- [sv-bc] 5.4 Indexing and slicing of arrays Bresticker, Shalom (Sun Nov 06 2005 - 05:21:59 PST)
- image001.gif (145 bytes)
- Bresticker__Shalom.vcf (343 bytes)
- [sv-bc] 5.6, 22.6: Dynamic Arrays questions Bresticker, Shalom (Tue Nov 08 2005 - 06:19:28 PST)
- image001.gif (145 bytes)
- RE: [sv-bc] 5.6, 22.6: Dynamic Arrays questions Rich, Dave (Tue Nov 08 2005 - 07:32:55 PST)
- image001.gif (145 bytes)
- [sv-bc] corrigendum? Bresticker, Shalom (Tue Nov 08 2005 - 07:52:49 PST)
- image001.gif (145 bytes)
- Bresticker__Shalom.vcf (343 bytes)
- [sv-bc] 1364 enhancement request comments Bresticker, Shalom (Mon Nov 21 2005 - 01:12:00 PST)
- stats.cgi_btf_archive (5 bytes)
- [sv-bc] Re BTF 419:Reconsider for 1364-2005 proposals made for 1364-2001 Bresticker, Shalom (Tue Nov 22 2005 - 05:09:07 PST)
- stats.cgi_btf_archive (5 bytes)
- [sv-bc] transferring 1364 db to mantis Bresticker, Shalom (Wed Nov 23 2005 - 22:42:17 PST)
- Blank_Bkgrd.gif (145 bytes)
- RE: [sv-bc] transferring 1364 db to mantis Bresticker, Shalom (Mon Nov 28 2005 - 23:55:50 PST)
- image001.gif (145 bytes)
- RE: [sv-bc] transferring 1364 db to mantis Rich, Dave (Tue Nov 29 2005 - 08:30:10 PST)
- image001.gif (145 bytes)
- [sv-bc] @* vs. always_comb Bresticker, Shalom (Sat Dec 03 2005 - 23:38:20 PST)
- image001.gif (145 bytes)
- RE: [sv-bc] @* vs. always_comb Rich, Dave (Sun Dec 04 2005 - 22:51:58 PST)
- image001.gif (145 bytes)
- [sv-bc] 8.13.1 Array assignment patterns - index:value Bresticker, Shalom (Mon Dec 05 2005 - 06:37:24 PST)
- image001.gif (145 bytes)
- RE: [sv-bc] @* vs. always_comb Michael \(Mac\) McNamara (Mon Dec 05 2005 - 08:46:30 PST)
- image001.gif (145 bytes)
- RE: [sv-bc] @* vs. always_comb Rich, Dave (Mon Dec 05 2005 - 08:58:59 PST)
- image001.gif (145 bytes)
- RE: [sv-bc] @* vs. always_comb Mark Hartoog (Mon Dec 05 2005 - 09:00:59 PST)
- image001.gif (145 bytes)
- RE: [sv-bc] @* vs. always_comb Michael \(Mac\) McNamara (Mon Dec 05 2005 - 09:49:17 PST)
- image001.gif (145 bytes)
- RE: [sv-bc] @* vs. always_comb Bresticker, Shalom (Wed Dec 07 2005 - 06:14:34 PST)
- image001.gif (145 bytes)
- [sv-bc] low-hanging fruit Bresticker, Shalom (Wed Dec 07 2005 - 06:47:52 PST)
- image001.gif (145 bytes)
- RE: [sv-bc] @* vs. always_comb Bresticker, Shalom (Wed Dec 07 2005 - 23:31:05 PST)
- image001.gif (145 bytes)
- RE: [sv-bc] @* vs. always_comb Bresticker, Shalom (Thu Dec 08 2005 - 00:55:21 PST)
- image001.gif (145 bytes)
- RE: [sv-bc] @* vs. always_comb Mark Hartoog (Thu Dec 08 2005 - 08:17:11 PST)
- image001.gif (145 bytes)
- [sv-bc] Bresticker, Shalom (Tue Dec 13 2005 - 23:34:51 PST)
- image001.gif (145 bytes)
- [sv-bc] compiler directives in middle of statement Bresticker, Shalom (Tue Dec 27 2005 - 01:52:39 PST)
- image001.gif (145 bytes)
- [sv-bc] #1step Bresticker, Shalom (Thu Dec 29 2005 - 05:44:01 PST)
- image001.gif (145 bytes)
- [sv-bc] assignment patterns Bresticker, Shalom (Mon Jan 09 2006 - 09:46:06 PST)
- image001.gif (145 bytes)
- [sv-bc] conf call Bresticker, Shalom (Mon Jan 09 2006 - 09:55:12 PST)
- image001.gif (145 bytes)
- [sv-bc] areas for future work Bresticker, Shalom (Tue Jan 10 2006 - 23:51:44 PST)
- image001.gif (145 bytes)
- RE: [sv-bc] areas for future work Rich, Dave (Thu Jan 12 2006 - 08:19:44 PST)
- image001.gif (145 bytes)
- RE: [sv-bc] areas for future work Rich, Dave (Fri Jan 13 2006 - 16:26:04 PST)
- image001.gif (145 bytes)
- RE: [sv-bc] areas for future work Bresticker, Shalom (Wed Feb 01 2006 - 00:26:23 PST)
- image001.gif (145 bytes)
- RE: [sv-bc] areas for future work Rich, Dave (Wed Feb 01 2006 - 07:46:59 PST)
- image001.gif (145 bytes)
- [sv-bc] e-mail vote: closes Feb 5th - Cliff's Vote Clifford E. Cummings (Thu Feb 02 2006 - 15:58:21 PST)
- SVDB_MailVote_20060202_Cliff.pdf (19643 bytes)
- RE: [sv-bc] areas for future work Bresticker, Shalom (Fri Feb 03 2006 - 01:17:52 PST)
- image001.gif (145 bytes)
- [sv-bc] SV-AC issue 966 Brad Pierce (Tue Feb 07 2006 - 10:58:14 PST)
- errata_0000966_proposal.htm (52671 bytes)
- [sv-bc] 4-state byte? Bresticker, Shalom (Tue Feb 14 2006 - 02:25:29 PST)
- image001.gif (145 bytes)
- [sv-bc] tasks and function argument and return types Bresticker, Shalom (Tue Feb 14 2006 - 04:43:41 PST)
- image001.gif (145 bytes)
- RE: [sv-bc] 4-state byte? Rich, Dave (Tue Feb 14 2006 - 14:51:55 PST)
- image001.gif (145 bytes)
- RE: [sv-bc] tasks and function argument and return types Rich, Dave (Tue Feb 14 2006 - 14:55:34 PST)
- image001.gif (145 bytes)
- [sv-bc] always_comb question Bresticker, Shalom (Wed Feb 15 2006 - 04:15:38 PST)
- image001.gif (145 bytes)
- [sv-bc] Proposal for Mantis 966 about $isunbounded Brad Pierce (Wed Feb 15 2006 - 08:19:52 PST)
- errata_0000966_proposal.htm (52671 bytes)
- RE: [sv-bc] always_comb question Mark Hartoog (Wed Feb 15 2006 - 08:30:47 PST)
- image001.gif (145 bytes)
- [sv-bc] endtask/function/module/interface :identifier Bresticker, Shalom (Wed Feb 22 2006 - 06:52:16 PST)
- image001.gif (145 bytes)
- Re: [sv-bc] endtask/function/module/interface :identifier Brad Pierce (Wed Feb 22 2006 - 08:11:47 PST)
- image001.gif (145 bytes)
- [sv-bc] Mantis 658, 2nd PDF file Bresticker, Shalom (Thu Feb 23 2006 - 04:53:28 PST)
- image001.gif (145 bytes)
- [sv-bc] Aggregate / struct - 2 questions Clifford E. Cummings (Sun Feb 26 2006 - 12:33:39 PST)
- proc1.v (585 bytes)
- [sv-bc] mantis Bresticker, Shalom (Mon Feb 27 2006 - 01:19:17 PST)
- image001.gif (145 bytes)
- [sv-bc] question: continuous assignments to variables Bresticker, Shalom (Mon Feb 27 2006 - 03:56:58 PST)
- image001.gif (145 bytes)
- [sv-bc] when is next bc meeting? Bresticker, Shalom (Tue Feb 28 2006 - 10:01:39 PST)
- image001.gif (145 bytes)
- [sv-bc] Mantis 1362: Clarify what are multi-dimensional arrays Bresticker, Shalom (Thu Mar 02 2006 - 04:13:46 PST)
- image001.gif (145 bytes)
- [sv-bc] Suggested items for email vote Rich, Dave (Mon Mar 06 2006 - 23:19:37 PST)
- image001.gif (993 bytes)
- image002.gif (76 bytes)
- image003.gif (425 bytes)
- RE: [sv-bc] Suggested items for email vote Bresticker, Shalom (Tue Mar 07 2006 - 04:05:17 PST)
- image001.gif (993 bytes)
- image002.gif (76 bytes)
- image003.gif (425 bytes)
- RE: [sv-bc] Suggested items for email vote Rich, Dave (Tue Mar 07 2006 - 08:46:58 PST)
- image001.gif (993 bytes)
- image002.gif (76 bytes)
- image003.gif (425 bytes)
- RE: [sv-bc] Suggested items for email vote Bresticker, Shalom (Thu Mar 09 2006 - 00:42:03 PST)
- image001.gif (993 bytes)
- image002.gif (76 bytes)
- image003.gif (425 bytes)
- [sv-bc] multi-line text macros and string literals Bresticker, Shalom (Sun Mar 26 2006 - 07:11:07 PST)
- image001.gif (145 bytes)
- [sv-bc] Mantis 1005 proposal Bresticker, Shalom (Tue Mar 28 2006 - 02:28:47 PST)
- image001.gif (145 bytes)
- [sv-bc] IEEE 1364 published is not yet available francoise martinolle (Tue Mar 28 2006 - 12:25:30 PST)
- transparent_spacer.gif (43 bytes)
- RE: [sv-bc] IEEE 1364 published is not yet available Maidment, Matthew R (Tue Mar 28 2006 - 14:40:53 PST)
- transparent_spacer.gif (43 bytes)
- [sv-bc] FW: question: continuous assignments to variables Bresticker, Shalom (Thu Mar 30 2006 - 06:34:48 PST)
- image001.gif (145 bytes)
- Re: [sv-bc] FW: question: continuous assignments to variables Brad Pierce (Thu Mar 30 2006 - 08:39:27 PST)
- image001.gif (145 bytes)
- RE: [sv-bc] FW: question: continuous assignments to variables Bresticker, Shalom (Thu Mar 30 2006 - 08:44:00 PST)
- image001.gif (145 bytes)
- [sv-bc] My SV-BC issue reviews Bresticker, Shalom (Thu Apr 06 2006 - 04:16:30 PDT)
- image001.gif (145 bytes)
- [sv-bc] My errata francoise martinolle (Thu Apr 06 2006 - 13:53:53 PDT)
- update.png (336 bytes)
- priority_3.gif (76 bytes)
- down.gif (66 bytes)
- [sv-bc] Unapproved minutes of April 10 meeting attached Brad Pierce (Mon Apr 10 2006 - 23:19:20 PDT)
- bc_06_04_10.txt (6147 bytes)
- [sv-bc] Nested macro definitions Bresticker, Shalom (Tue Apr 11 2006 - 00:11:10 PDT)
- image001.gif (145 bytes)
- [sv-bc] In-line variable initialization Bresticker, Shalom (Mon Apr 17 2006 - 22:50:26 PDT)
- image001.gif (145 bytes)
- [sv-bc] Static casting types Bresticker, Shalom (Sat Apr 22 2006 - 23:29:46 PDT)
- image001.gif (145 bytes)
- [sv-bc] macromdules Bresticker, Shalom (Sun Apr 23 2006 - 06:41:14 PDT)
- image001.gif (145 bytes)
- [sv-bc] IEEE Std 1364-2005 is available on IEEE Xplore Bresticker, Shalom (Fri Apr 28 2006 - 00:45:00 PDT)
- image001.gif (145 bytes)
- [sv-bc] 1364: special characters in strings Bresticker, Shalom (Sun Apr 30 2006 - 05:33:57 PDT)
- image001.gif (145 bytes)
- [sv-bc] 6.3: Constant variables? Bresticker, Shalom (Mon May 01 2006 - 01:42:31 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] 6.3: Constant variables? Rich, Dave (Wed May 03 2006 - 11:15:36 PDT)
- image001.gif (145 bytes)
- [sv-bc] Top-level instance vs. top-level module Bresticker, Shalom (Wed May 17 2006 - 02:28:48 PDT)
- image001.gif (145 bytes)
- [sv-bc] extern interface Bresticker, Shalom (Wed May 17 2006 - 05:32:37 PDT)
- image001.gif (145 bytes)
- [sv-bc] enum bit-/part-select Bresticker, Shalom (Wed May 17 2006 - 10:59:21 PDT)
- image001.gif (145 bytes)
- Re: [sv-bc] enum bit-/part-select Brad Pierce (Wed May 17 2006 - 11:13:01 PDT)
- image001.gif (145 bytes)
- Re: [sv-bc] enum bit-/part-select Steven Sharp (Wed May 17 2006 - 13:23:53 PDT)
- image001.gif (145 bytes)
- [sv-bc] another signing question Bresticker, Shalom (Thu May 18 2006 - 00:31:00 PDT)
- image001.gif (145 bytes)
- Re: [sv-bc] another signing question Brad Pierce (Thu May 18 2006 - 01:28:18 PDT)
- image001.gif (145 bytes)
- [sv-bc] Mantis 1418 has a revised proposal Bresticker, Shalom (Mon May 22 2006 - 09:28:55 PDT)
- image001.gif (145 bytes)
- [sv-bc] "hierarchical reference" Bresticker, Shalom (Mon May 22 2006 - 11:00:26 PDT)
- image001.gif (145 bytes)
- [sv-bc] $root Bresticker, Shalom (Tue May 23 2006 - 02:48:29 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] $root Rich, Dave (Tue May 23 2006 - 04:58:54 PDT)
- image001.gif (145 bytes)
- [sv-bc] absence Bresticker, Shalom (Wed May 24 2006 - 05:57:50 PDT)
- image001.gif (145 bytes)
- [sv-bc] I'm back Bresticker, Shalom (Thu Jun 01 2006 - 07:53:45 PDT)
- image001.gif (145 bytes)
- [sv-bc] Table 8-1: ! as other binary logical operator Bresticker, Shalom (Sat Jun 03 2006 - 23:56:34 PDT)
- image001.gif (145 bytes)
- [sv-bc] test Bresticker, Shalom (Sun Jun 04 2006 - 00:57:07 PDT)
- image001.gif (145 bytes)
- [sv-bc] FW: [sv-ac] Errata 966 - $isunbounded Bresticker, Shalom (Sun Jun 04 2006 - 01:09:37 PDT)
- errata_0000966_proposal.060524.htm (53660 bytes)
- [sv-bc] eda.org Bresticker, Shalom (Mon Jun 05 2006 - 20:41:28 PDT)
- image001.gif (145 bytes)
- [sv-bc] 22.13: display formats on unpacked data Bresticker, Shalom (Tue Jun 06 2006 - 05:35:37 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] 22.13: display formats on unpacked data Warmke, Doug (Tue Jun 06 2006 - 08:44:59 PDT)
- image001.gif (145 bytes)
- [sv-bc] votable issues Bresticker, Shalom (Thu Jun 15 2006 - 08:15:28 PDT)
- image001.gif (145 bytes)
- [sv-bc] 1364-2005 4.8.1: Operators and real numbers Bresticker, Shalom (Fri Jun 16 2006 - 02:42:53 PDT)
- image001.gif (145 bytes)
- [sv-bc] FW: [sv-ec] Query regarding Macro substitution Bresticker, Shalom (Wed Jun 21 2006 - 05:46:19 PDT)
- mail_.vcf (311 bytes)
- [sv-bc] import using a function prototype - why must data type be explicit? Bresticker, Shalom (Mon Jun 26 2006 - 02:05:16 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] import using a function prototype - why must data type be explicit? Bresticker, Shalom (Mon Jun 26 2006 - 05:01:08 PDT)
- image001.gif (145 bytes)
- [sv-bc] 5.15.3 Array reduction methods - result type Bresticker, Shalom (Tue Jun 27 2006 - 01:39:50 PDT)
- image001.gif (145 bytes)
- [sv-bc] [sv-ec] Query regarding Macro substitution Steven Sharp (Thu Jun 29 2006 - 11:20:34 PDT)
- mail_.vcf (300 bytes)
- [sv-bc] Mantis 1508 is "What is a 'hierarchical reference'?" Bresticker, Shalom (Mon Jul 10 2006 - 10:05:57 PDT)
- image001.gif (145 bytes)
- [sv-bc] 6.6: "global scope" is confusing Bresticker, Shalom (Tue Jul 18 2006 - 07:34:09 PDT)
- image001.gif (145 bytes)
- [sv-bc] 1800 22.13: %u and %z format specifiers Bresticker, Shalom (Thu Jul 20 2006 - 02:53:09 PDT)
- image001.gif (145 bytes)
- [sv-bc] compilation unit support and +define Bresticker, Shalom (Thu Jul 20 2006 - 02:49:34 PDT)
- image001.gif (145 bytes)
- [sv-bc] I filed a proposal for Mantis 957 Bresticker, Shalom (Mon Jul 24 2006 - 03:56:13 PDT)
- image001.gif (145 bytes)
- [sv-bc] Nested module questions Bresticker, Shalom (Thu Jul 27 2006 - 06:52:43 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] Nested module questions Rich, Dave (Thu Jul 27 2006 - 09:50:17 PDT)
- image001.gif (145 bytes)
- Re: [sv-bc] Nested module questions Brad Pierce (Thu Jul 27 2006 - 10:47:28 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] Nested module questions Bresticker, Shalom (Thu Jul 27 2006 - 11:07:26 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] Nested module questions Bresticker, Shalom (Fri Jul 28 2006 - 00:54:23 PDT)
- image001.gif (145 bytes)
- [sv-bc] Re: [sv-ec] Query regarding Macro substitution Brad Pierce (Thu Aug 03 2006 - 22:05:07 PDT)
- macros_and_escaped_identifiers_1537.htm (44410 bytes)
- [sv-bc] types of casting Bresticker, Shalom (Thu Aug 10 2006 - 01:58:33 PDT)
- image001.gif (145 bytes)
- Re: [sv-bc] types of casting Brad Pierce (Thu Aug 10 2006 - 08:15:40 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] types of casting Rich, Dave (Thu Aug 10 2006 - 08:23:47 PDT)
- image001.gif (145 bytes)
- Re: [sv-bc] types of casting Brad Pierce (Thu Aug 10 2006 - 08:31:05 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] types of casting Bresticker, Shalom (Fri Aug 11 2006 - 03:10:58 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] types of casting Brad Pierce (Fri Aug 11 2006 - 08:31:03 PDT)
- image001.gif (145 bytes)
- [sv-bc] I added a proposal to 1341: effect of `resetall on `begin_keywords not defined in 1364, 19.11 Bresticker, Shalom (Wed Aug 16 2006 - 06:19:30 PDT)
- image001.gif (145 bytes)
- [sv-bc] Mantis 1563: allow to pass macro arguments by name Bresticker, Shalom (Fri Aug 18 2006 - 02:22:54 PDT)
- image001.gif (145 bytes)
- [sv-bc] Mantis 1563: allow to pass macro arguments by name Bresticker, Shalom (Fri Aug 18 2006 - 02:53:56 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] Mantis 1563: allow to pass macro arguments by name Brad Pierce (Fri Aug 18 2006 - 08:55:56 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] Mantis 1563: allow to pass macro arguments by name Bresticker, Shalom (Sun Aug 20 2006 - 07:32:23 PDT)
- image001.gif (145 bytes)
- [sv-bc] Mantis 1209: clarify that parameters can be arrays Bresticker, Shalom (Mon Aug 21 2006 - 06:46:23 PDT)
- image001.gif (145 bytes)
- [sv-bc] system functiions in constant expressions Bresticker, Shalom (Tue Aug 22 2006 - 01:39:57 PDT)
- image001.gif (145 bytes)
- Re: [sv-bc] system functiions in constant expressions Brad Pierce (Tue Aug 22 2006 - 11:38:16 PDT)
- image001.gif (145 bytes)
- Re: [sv-bc] system functiions in constant expressions Steven Sharp (Tue Aug 22 2006 - 15:13:03 PDT)
- image001.gif (145 bytes)
- [sv-bc] Mantis 1090: `undefineall Bresticker, Shalom (Wed Aug 23 2006 - 23:31:39 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] Mantis 1090: `undefineall Feldman, Yulik (Wed Aug 23 2006 - 23:59:40 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] 1800 22.13: %u and %z format specifiers Bresticker, Shalom (Thu Aug 24 2006 - 05:55:43 PDT)
- image001.gif (145 bytes)
- [sv-bc] port-size mismatch warning Bresticker, Shalom (Mon Sep 04 2006 - 07:26:44 PDT)
- image001.gif (145 bytes)
- [sv-bc] Please look at SV-AC issue 1549, new keyword being voted on Brad Pierce (Thu Sep 07 2006 - 10:29:28 PDT)
- 928_4.pdf (17314 bytes)
- AC_1549_4.pdf (37037 bytes)
- RE: [sv-bc] Re: Package export proposal Brad Pierce (Fri Sep 15 2006 - 21:52:53 PDT)
- package_exports.htm (22655 bytes)
- [sv-bc] Mantis 1571: Add default values for macro arguments Bresticker, Shalom (Sun Sep 17 2006 - 04:53:28 PDT)
- image001.gif (145 bytes)
- [sv-bc] task/function inout arguments with default values Bresticker, Shalom (Sun Sep 17 2006 - 06:44:26 PDT)
- image001.gif (145 bytes)
- FW: [sv-bc] Static casting types Bresticker, Shalom (Mon Sep 18 2006 - 01:13:59 PDT)
- image001.gif (145 bytes)
- [sv-bc] use of "list of ports" and "list of port declarations" Bresticker, Shalom (Mon Sep 18 2006 - 07:54:00 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] Static casting types Rich, Dave (Mon Sep 18 2006 - 10:54:45 PDT)
- image001.gif (145 bytes)
- FW: [sv-bc] 1800 22.13: %u and %z format specifiers Bresticker, Shalom (Tue Sep 19 2006 - 00:39:58 PDT)
- image001.gif (145 bytes)
- [sv-bc] 19.12.5: array of instances connection to packed array port Bresticker, Shalom (Tue Sep 19 2006 - 03:41:49 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] Re: Package export proposal Brad Pierce (Tue Sep 19 2006 - 22:25:03 PDT)
- package_exports_bolded.htm (32442 bytes)
- Re: [sv-bc] Please look at SV-AC issue 1549, new keyword being voted on Brad Pierce (Wed Sep 20 2006 - 10:59:16 PDT)
- AC_1549_5.pdf (23154 bytes)
- implicit_type.pdf (28255 bytes)
- [sv-bc] Emailing: VHDL udpate safeguards IP Bresticker, Shalom (Tue Oct 10 2006 - 00:24:10 PDT)
- ____ (43 bytes)
- amcc_440epx_leaderboard_092106.jpg (41444 bytes)
- ____ (43 bytes)
- cmp.gif (1170 bytes)
- eet_logo.gif (5373 bytes)
- [sv-bc] Mantis 1119: 19.3: Preprocessor macros within strings Bresticker, Shalom (Tue Oct 10 2006 - 03:32:35 PDT)
- image001.gif (145 bytes)
- FW: [sv-bc] Mantis 1571: Add default values for macro arguments Bresticker, Shalom (Tue Oct 10 2006 - 06:28:43 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] Emailing: VHDL udpate safeguards IP Brophy, Dennis (Tue Oct 10 2006 - 10:29:41 PDT)
- amcc_440epx_leaderboard_092106.jpg (41444 bytes)
- cmp.gif (1170 bytes)
- eet_logo.gif (5373 bytes)
- RE: [sv-bc] Emailing: VHDL update safeguards IP Michael \(Mac\) McNamara (Tue Oct 10 2006 - 10:53:12 PDT)
- image004.jpg (15355 bytes)
- image005.gif (1170 bytes)
- image006.gif (5373 bytes)
- RE: [sv-bc] Emailing: VHDL update safeguards IP Brophy, Dennis (Tue Oct 10 2006 - 11:03:10 PDT)
- image004.jpg (15355 bytes)
- image005.gif (1170 bytes)
- image006.gif (5373 bytes)
- RE: [sv-bc] Mantis 1571: Add default values for macro arguments Rich, Dave (Tue Oct 10 2006 - 14:24:51 PDT)
- image001.gif (145 bytes)
- [sv-bc] Re: [sv-ec] Pattern matching in if statements Brad Pierce (Mon Oct 16 2006 - 10:08:47 PDT)
- typos_1332.htm (45212 bytes)
- [sv-bc] parameterized timeunits? Bresticker, Shalom (Thu Oct 19 2006 - 01:58:23 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] Mantis 1571: Add default values for macro arguments Bresticker, Shalom (Thu Oct 19 2006 - 02:30:01 PDT)
- image001.gif (145 bytes)
- 07-07.txt (5266 bytes)
- [sv-bc] What is a token? Bresticker, Shalom (Thu Oct 26 2006 - 00:26:32 PDT)
- image001.gif (145 bytes)
- [sv-bc] Mantis 1619: defaults for module input ports Bresticker, Shalom (Mon Oct 30 2006 - 05:56:52 PST)
- image001.gif (145 bytes)
- [sv-bc] .name and .* Bresticker, Shalom (Mon Oct 30 2006 - 07:17:42 PST)
- image001.gif (145 bytes)
- Re: [sv-bc] Can a function contain a fork/join/any/none? Brad Pierce (Sat Nov 11 2006 - 11:17:01 PST)
- resolved_1615.pdf (19929 bytes)
- proposed_1336.pdf (14969 bytes)
- Re: [sv-bc] structure literal example in standard Brad Pierce (Sun Nov 12 2006 - 23:03:29 PST)
- 1666.htm (58705 bytes)
- Re: [sv-bc] Proposal for mantis 331 uploaded - support of SV types with file I/O Brad Pierce (Thu Nov 16 2006 - 09:45:20 PST)
- 331_display.pdf (20606 bytes)
- [sv-bc] CANCELLED: Nov 20 SV-BC Conference Call Brad Pierce (Fri Nov 17 2006 - 13:52:39 PST)
- November-2006-UNAPPROVED-Meeting-Minutes.pdf (22154 bytes)
- [sv-bc] Mantis 210: allow use of generate in port list Bresticker, Shalom (Mon Nov 27 2006 - 00:59:26 PST)
- image001.gif (145 bytes)
- RE: [sv-bc] Mantis 210: allow use of generate in port list Mark Hartoog (Mon Nov 27 2006 - 09:11:17 PST)
- image001.gif (145 bytes)
- RE: [sv-bc] Mantis 210: allow use of generate in port list Rich, Dave (Mon Nov 27 2006 - 22:52:17 PST)
- image001.gif (145 bytes)
- [sv-bc] Unresolved 1364 issues -- the 33 Verilog-2005 issues marked major in Mantis Brad Pierce (Thu Nov 30 2006 - 09:13:49 PST)
- 1364_majors.htm (44164 bytes)
- [sv-bc] Agenda: December 18 SV-BC CC Brad Pierce (Mon Dec 18 2006 - 07:42:08 PST)
- bc_06_12_04.txt (7924 bytes)
- [sv-bc] FW: Agenda: SV-XC committee meeting (Dec 20, 2006) Logie Ramachandran (Tue Dec 19 2006 - 07:26:13 PST)
- minutes-Dec062006.txt (4009 bytes)
- [sv-bc] splitting up proposals Bresticker, Shalom (Tue Dec 19 2006 - 10:10:32 PST)
- image001.gif (145 bytes)
- [sv-bc] Mantis 1257 proposal Bresticker, Shalom (Wed Dec 27 2006 - 04:06:26 PST)
- image001.gif (145 bytes)
- [sv-bc] I uploaded proposals to 1257, 1280, 1497, 1666 Bresticker, Shalom (Mon Jan 08 2007 - 05:01:36 PST)
- image001.gif (145 bytes)
- [sv-bc] FW: Agenda: January 8 SV-BC CC Brad Pierce (Mon Jan 08 2007 - 08:14:06 PST)
- bc_06_12_18.txt (9612 bytes)
- [sv-bc] Mantis 331 on strings Bresticker, Shalom (Sat Jan 13 2007 - 23:20:15 PST)
- image001.gif (145 bytes)
- [sv-bc] 1364 editing changes Bresticker, Shalom (Sun Feb 04 2007 - 05:26:19 PST)
- image001.gif (145 bytes)
- RE: [sv-bc] 1364 editing changes Bresticker, Shalom (Mon Feb 05 2007 - 02:27:17 PST)
- image001.gif (145 bytes)
- [sv-bc] 1800 editing changes Bresticker, Shalom (Mon Feb 05 2007 - 03:32:01 PST)
- image001.gif (145 bytes)
- [sv-bc] Emailing: sv-bc_07_01_08 Bresticker, Shalom (Mon Feb 05 2007 - 09:07:28 PST)
- warning.htm (2004 bytes)
- [sv-bc] {Disarmed} FW: Emailing: 1364 issues Bresticker, Shalom (Mon Feb 05 2007 - 09:20:59 PST)
- image001.gif (66 bytes)
- image002.gif (425 bytes)
- image003.gif (76 bytes)
- [sv-bc] {Disarmed} FW: Emailing: 1800 issues Bresticker, Shalom (Mon Feb 05 2007 - 09:21:12 PST)
- image001.gif (66 bytes)
- image002.gif (425 bytes)
- image003.gif (76 bytes)
- [sv-bc] Reworded proposal to Mantis 1257 Bresticker, Shalom (Tue Feb 06 2007 - 02:24:13 PST)
- image001.gif (145 bytes)
- [sv-bc] Mantis 1468, 1561 Bresticker, Shalom (Tue Feb 06 2007 - 02:32:15 PST)
- image001.gif (145 bytes)
- [sv-bc] %p Bresticker, Shalom (Mon Feb 12 2007 - 07:41:21 PST)
- image001.gif (145 bytes)
- [sv-bc] A.6.2 BNF: initial vs. always Bresticker, Shalom (Mon Feb 19 2007 - 07:29:50 PST)
- image001.gif (145 bytes)
- [sv-bc] implicit net declarations on ports Bresticker, Shalom (Mon Feb 19 2007 - 08:20:07 PST)
- image001.gif (145 bytes)
- [sv-bc] Proposed merged LRM Table of Contents Stuart Sutherland (Mon Feb 19 2007 - 22:26:19 PST)
- 02-20.pdf (18727 bytes)
- [sv-bc] {Disarmed} Emailing: SysVerilog support falls short for design Bresticker, Shalom (Tue Feb 20 2007 - 00:16:06 PST)
- ____ (43 bytes)
- ____ (43 bytes)
- cmp.gif (1170 bytes)
- iopsblank.gif (43 bytes)
- eet_logo.gif (5373 bytes)
- NEWS1463_SYSVERILOG_PG_24.gif (13492 bytes)
- ____ (43 bytes)
- [sv-bc] SV enhancement requests Bresticker, Shalom (Thu Feb 22 2007 - 01:01:05 PST)
- image001.gif (145 bytes)
- [sv-bc] FW: [sv-ac] Updated proposal for 1641 (severity system tasks) Bresticker, Shalom (Sat Mar 10 2007 - 19:43:25 PST)
- severity_tasks_3.htm (33267 bytes)
- [sv-bc] 19.14: "nested identifiers" Bresticker, Shalom (Mon Mar 19 2007 - 01:19:15 PDT)
- image001.gif (145 bytes)
- [sv-bc] multi-line string literals Bresticker, Shalom (Mon Mar 19 2007 - 02:41:17 PDT)
- image001.gif (145 bytes)
- [sv-bc] Merged LRM draft review Bresticker, Shalom (Thu Mar 29 2007 - 07:52:31 PDT)
- image001.gif (145 bytes)
- [sv-bc] Generates within sequence declarations -- proposed SV-AC language extension Brad Pierce (Thu Mar 29 2007 - 08:59:44 PDT)
- generate1646.070319.pdf (40811 bytes)
- [sv-bc] I will not attend Mionday SV-BC conference call (Passover holiday) Bresticker, Shalom (Thu Mar 29 2007 - 10:10:04 PDT)
- image001.gif (145 bytes)
- [sv-bc] merged lrm: 5.7 Bresticker, Shalom (Sun Apr 01 2007 - 23:30:02 PDT)
- image001.gif (145 bytes)
- [sv-bc] merged lrm: 'net type' terminology Bresticker, Shalom (Mon Apr 02 2007 - 04:38:25 PDT)
- image001.gif (145 bytes)
- [sv-bc] Stu questions on Merged LRM Bresticker, Shalom (Wed Apr 11 2007 - 06:25:49 PDT)
- image001.gif (145 bytes)
- [sv-bc] Merged LRM Draft 2 - comments on strings Bresticker, Shalom (Fri Apr 13 2007 - 08:02:52 PDT)
- image001.gif (145 bytes)
- [sv-bc] MERGE REVIEW draft 2: Chapter 10 Clifford E. Cummings (Sun Apr 15 2007 - 23:19:29 PDT)
- CliffNotes_10.pdf (25224 bytes)
- [sv-bc] merged lrm draft 2 comments Bresticker, Shalom (Mon Apr 16 2007 - 08:39:27 PDT)
- image001.gif (145 bytes)
- [sv-bc] Editorial suggestions for Draft 3 - Shalom Bresticker, Shalom (Thu Apr 19 2007 - 07:47:30 PDT)
- image001.gif (145 bytes)
- [sv-bc] Itemized lists of issues for Draft 2 Chapter 7 Mark Hartoog (Thu Apr 19 2007 - 08:36:31 PDT)
- chapter7.pdf (44692 bytes)
- [sv-bc] Merged LRM/D2, 21.3: `include Bresticker, Shalom (Sun Apr 22 2007 - 07:06:27 PDT)
- image001.gif (145 bytes)
- [sv-bc] LRM example names Bresticker, Shalom (Mon May 07 2007 - 03:05:03 PDT)
- image001.gif (145 bytes)
- [sv-bc] LRM xrefs Bresticker, Shalom (Mon May 07 2007 - 03:08:08 PDT)
- image001.gif (145 bytes)
- [sv-bc] reviewing draft 3 Bresticker, Shalom (Tue May 08 2007 - 02:06:02 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] reviewing draft 3 Maidment, Matthew R (Tue May 08 2007 - 09:59:30 PDT)
- image001.gif (145 bytes)
- [sv-bc] D3 27.15.2 xref Bresticker, Shalom (Sun May 13 2007 - 00:49:18 PDT)
- image001.gif (145 bytes)
- [sv-bc] Mantis 1117: notifier type Bresticker, Shalom (Sun May 13 2007 - 00:55:05 PDT)
- image001.gif (145 bytes)
- [sv-bc] D3 20.2.1: $display arguments Bresticker, Shalom (Mon May 14 2007 - 07:11:20 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] D3 20.2.1: $display arguments Brad Pierce (Mon May 14 2007 - 08:07:25 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] D3 20.2.1: $display arguments Bresticker, Shalom (Mon May 14 2007 - 08:12:16 PDT)
- image001.gif (145 bytes)
- [sv-bc] wildcard package imports, std, $unit Bresticker, Shalom (Thu May 17 2007 - 06:51:06 PDT)
- image001.gif (145 bytes)
- [sv-bc] D3 22.3.2.3: .name and equivalent types Bresticker, Shalom (Thu May 17 2007 - 13:28:06 PDT)
- image001.gif (145 bytes)
- [sv-bc] .name, .* implicit port connections Bresticker, Shalom (Sun May 20 2007 - 00:10:04 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] .name, .* implicit port connections Rich, Dave (Mon May 21 2007 - 16:31:40 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] D3 22.3.2.3: .name and equivalent types Rich, Dave (Mon May 21 2007 - 16:34:17 PDT)
- image001.gif (145 bytes)
- [sv-bc] Operating Guidelines - have been updated Neil Korpusik (Fri May 25 2007 - 18:55:22 PDT)
- OpGuidelines_05_07.pdf (84209 bytes)
- OpGuidelines_05_07.marked.pdf (84780 bytes)
- [sv-bc] P1800/D3: plusarg_string Bresticker, Shalom (Sat May 26 2007 - 23:13:32 PDT)
- image001.gif (145 bytes)
- [sv-bc] D3 5.7.1: assigning constant numbers to a logic data type Bresticker, Shalom (Mon May 28 2007 - 01:38:15 PDT)
- image001.gif (145 bytes)
- [sv-bc] D3 5.7.1: default length of x/z Bresticker, Shalom (Mon May 28 2007 - 01:51:11 PDT)
- image001.gif (145 bytes)
- [sv-bc] D3: Block names and statement lables Bresticker, Shalom (Mon May 28 2007 - 02:16:47 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] D3 5.7.1: default length of x/z Chris Spear (Mon May 28 2007 - 05:49:04 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] D3 5.7.1: default length of x/z Bresticker, Shalom (Mon May 28 2007 - 06:01:02 PDT)
- image001.gif (145 bytes)
- [sv-bc] bind target - program? Bresticker, Shalom (Thu May 31 2007 - 00:39:51 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] bind target - program? Arturo Salz (Thu May 31 2007 - 00:50:23 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] bind target - program? Bresticker, Shalom (Thu May 31 2007 - 02:01:29 PDT)
- image001.gif (145 bytes)
- [sv-bc] 22.10: what is a bind target variation? Bresticker, Shalom (Thu May 31 2007 - 02:11:15 PDT)
- image001.gif (145 bytes)
- [sv-bc] test Bresticker, Shalom (Fri Jun 01 2007 - 03:35:52 PDT)
- image001.gif (145 bytes)
- [sv-bc] $urandom, $urandom_range Bresticker, Shalom (Fri Jun 01 2007 - 03:10:16 PDT)
- image001.gif (145 bytes)
- [sv-bc] lrm references to verilog-xxxx, systemverilog-xxxx Bresticker, Shalom (Fri Jun 01 2007 - 06:08:25 PDT)
- image001.gif (145 bytes)
- [sv-bc] $cast Bresticker, Shalom (Tue Jun 05 2007 - 00:50:55 PDT)
- image001.gif (145 bytes)
- Re: [sv-bc] $cast Brad Pierce (Tue Jun 05 2007 - 07:13:22 PDT)
- image001.gif (145 bytes)
- [sv-bc] Mantis upgrade Rich, Dave (Tue Jun 05 2007 - 09:11:34 PDT)
- SV_DB_Proc.pdf (60054 bytes)
- [sv-bc] 6.7 error in merging Bresticker, Shalom (Wed Jun 06 2007 - 02:34:33 PDT)
- image001.gif (145 bytes)
- [sv-bc] D3 6.7: logic and reg Bresticker, Shalom (Fri Jun 08 2007 - 06:23:07 PDT)
- image001.gif (145 bytes)
- [sv-bc] additional issues ready for email vote Bresticker, Shalom (Mon Jun 11 2007 - 02:52:13 PDT)
- image001.gif (145 bytes)
- [sv-bc] Mantis 1831: JEITA: 22.2.2.2 Module port headers clarification Bresticker, Shalom (Tue Jun 12 2007 - 03:29:05 PDT)
- image001.gif (145 bytes)
- [sv-bc] statement labels Bresticker, Shalom (Wed Jun 13 2007 - 04:12:58 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] statement labels Bresticker, Shalom (Wed Jun 13 2007 - 04:49:21 PDT)
- image001.gif (145 bytes)
- [sv-bc] value parameter types Bresticker, Shalom (Tue Jun 19 2007 - 01:37:56 PDT)
- image001.gif (145 bytes)
- [sv-bc] multiple package imports Bresticker, Shalom (Wed Jun 20 2007 - 02:09:05 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] multiple package imports Arturo Salz (Wed Jun 20 2007 - 09:22:12 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] multiple package imports Bresticker, Shalom (Wed Jun 20 2007 - 22:57:38 PDT)
- image001.gif (145 bytes)
- [sv-bc] D3a 11.2: operands Bresticker, Shalom (Thu Jun 21 2007 - 00:28:24 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] D3a 11.2: operands Feldman, Yulik (Thu Jun 21 2007 - 03:05:12 PDT)
- image001.gif (145 bytes)
- [sv-bc] `` macro token gluing operator Bresticker, Shalom (Thu Jun 21 2007 - 04:26:08 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] multiple package imports Rich, Dave (Thu Jun 21 2007 - 09:18:48 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] D3a 11.2: operands Stuart Sutherland (Thu Jun 21 2007 - 18:00:48 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] RE: [sv-ec] Formatting strings using %b ??? Michael (Mac) McNamara (Fri Jun 22 2007 - 11:01:39 PDT)
- smime.p7s (7903 bytes)
- RE: [sv-bc] RE: [sv-ec] Formatting strings using %b ??? Michael (Mac) McNamara (Fri Jun 22 2007 - 13:46:46 PDT)
- smime.p7s (7903 bytes)
- [sv-bc] negative delays Bresticker, Shalom (Sun Jun 24 2007 - 09:47:54 PDT)
- image001.gif (145 bytes)
- [sv-bc] minor wire issues Bresticker, Shalom (Mon Jul 02 2007 - 02:42:08 PDT)
- image001.gif (145 bytes)
- Re: [sv-bc] minor wire issues Brad Pierce (Mon Jul 02 2007 - 08:45:22 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] minor wire issues Rich, Dave (Mon Jul 02 2007 - 10:45:23 PDT)
- image001.gif (145 bytes)
- [sv-bc] -dangles Proposal Clifford E. Cummings (Mon Jul 02 2007 - 13:51:36 PDT)
- Proposal_dangles.pdf (33402 bytes)
- RE: [sv-bc] minor wire issues Bresticker, Shalom (Wed Jul 04 2007 - 02:36:12 PDT)
- image001.gif (145 bytes)
- [sv-bc] Case Statement Enhancement Proposal Idea Clifford E. Cummings (Wed Jul 04 2007 - 12:21:14 PDT)
- Proposal_case_initial.pdf (23305 bytes)
- [sv-bc] lrm compiler directive order Bresticker, Shalom (Wed Jul 11 2007 - 05:17:25 PDT)
- Blank_Bkgrd.gif (145 bytes)
- RE: [sv-bc] lrm compiler directive order Gran, Alex (Wed Jul 11 2007 - 11:16:06 PDT)
- Blank_Bkgrd.gif (145 bytes)
- RE: [sv-bc] lrm compiler directive order Premduth Vidyanandan (Wed Jul 11 2007 - 13:40:39 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] lrm compiler directive order Rich, Dave (Wed Jul 11 2007 - 18:47:33 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] lrm compiler directive order Bresticker, Shalom (Wed Jul 11 2007 - 23:59:31 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] lrm compiler directive order Premduth Vidyanandan (Thu Jul 12 2007 - 10:42:51 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] lrm compiler directive order Gran, Alex (Thu Jul 12 2007 - 12:28:40 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] lrm compiler directive order Bresticker, Shalom (Fri Jul 13 2007 - 00:52:53 PDT)
- image001.gif (145 bytes)
- RE: [sv-bc] Mantis 1090: `undefineall Bresticker, Shalom (Mon Jul 16 2007 - 22:52:52 PDT)
- 1090_D3a.htm (43854 bytes)
- RE: [sv-bc] streaming operator: svlog LRM Jonathan Bromley (Thu Jul 19 2007 - 04:31:29 PDT)
- 1707-jb3.pdf (56953 bytes)
- [sv-bc] Mantis 1602: task/function inout arg defaults - draft proposal Bresticker, Shalom (Fri Aug 03 2007 - 08:28:39 PDT)
- 1602_D3a.doc (36864 bytes)
- [sv-bc] Reminder: August 6 SV-BC Meeting Brad Pierce (Fri Aug 03 2007 - 09:58:18 PDT)
- 1935.htm (40675 bytes)
- 1484.pdf (10260 bytes)
- 1957.htm (45329 bytes)
- 1945.htm (38138 bytes)
- [sv-bc] Emailing: sv-bc_07_07_23.htm Bresticker, Shalom (Mon Aug 06 2007 - 09:06:23 PDT)
- bc_07_07_23.htm (8112 bytes)
- FW: [sv-bc] Mantis 1602: task/function inout arg defaults - draft proposal Bresticker, Shalom (Tue Aug 14 2007 - 22:43:46 PDT)
- 1602_D3a.doc (36864 bytes)
- [sv-bc] Mantis 1571: proposal for macro defaults Bresticker, Shalom (Tue Aug 14 2007 - 23:57:31 PDT)
- 1571_D3a.doc (42496 bytes)
- [sv-bc] FW: [sv-ac] mantis 1648 Maidment, Matthew R (Fri Sep 07 2007 - 16:59:06 PDT)
- default_disable_1648.070904_dk.pdf (178344 bytes)
- Re: [sv-bc] module instantiations inside interfaces Brad Pierce (Sun Sep 09 2007 - 09:42:59 PDT)
- module_instances_in_interfaces_902.htm (50288 bytes)
- [sv-bc] Mantis 0001035: Syntax 8-1 and Table 8-1 misclassifications Bresticker, Shalom (Mon Sep 10 2007 - 23:35:36 PDT)
- 1035_D3a.htm (217565 bytes)
- [sv-bc] RE: [sv-ec] New proposal for Mantis 0001556: in-line static variable initialization - require keyword static? Brad Pierce (Thu Sep 13 2007 - 14:56:18 PDT)
- 1556_static.pdf (12149 bytes)
- [sv-bc] Mantis 907: Default parameter assignment should be optional Brad Pierce (Wed Sep 19 2007 - 23:53:58 PDT)
- 907_optional_default_parameter_assignments.htm (63085 bytes)
- [sv-bc] Slides for name resolution face to face Mark Hartoog (Mon Sep 24 2007 - 09:15:06 PDT)
- to-face.ppt (228352 bytes)
- [sv-bc] Mantis 1988: nonvoid functions as statements Bresticker, Shalom (Tue Sep 25 2007 - 02:36:23 PDT)
- 1988_D3a.V2.htm (59168 bytes)
- Re: [sv-bc] E-mal Vote: Respond by 8am PDT, Sunday Sep 30, 2007 Clifford E. Cummings (Sat Sep 29 2007 - 23:38:50 PDT)
- Cliffs_vote_bc_20070929.pdf (15001 bytes)
- [sv-bc] Mantis 1348: statement labels create named blocks Bresticker, Shalom (Sun Sep 30 2007 - 07:09:42 PDT)
- 1348_D3a.htm (71811 bytes)
- [sv-bc] Mantis 1940 Bresticker, Shalom (Tue Oct 02 2007 - 05:19:55 PDT)
- 1940_D3a.V3.htm (92004 bytes)
- [sv-bc] FW: [sv-ac] updated proposal for 1641 Bresticker, Shalom (Fri Oct 05 2007 - 02:51:49 PDT)
- severity_tasks_7.pdf (28183 bytes)
- [sv-bc] Mantis 1360: clarify that separate always_comb's to different variable selects are allowed Bresticker, Shalom (Sun Oct 07 2007 - 00:04:39 PDT)
- 1360_D4.htm (41932 bytes)
- Re: [sv-bc] E-mail Ballot: Respond by Oct 14, 2007 8am PDT Clifford E. Cummings (Sat Oct 13 2007 - 21:29:01 PDT)
- Cliffs_vote_bc_20071014.pdf (14406 bytes)
- [sv-bc] top-level instances - Mantis 1489 Bresticker, Shalom (Sun Oct 14 2007 - 01:44:22 PDT)
- 1489_toplevel_instance_D4.htm (45125 bytes)
- [sv-bc] SVDB 1619 Examples Clifford E. Cummings (Sun Oct 14 2007 - 16:22:41 PDT)
- pipe_models.tar (10240 bytes)
- [sv-bc] Mantis 1573 proposal Bresticker, Shalom (Tue Oct 16 2007 - 05:49:37 PDT)
- 1573_D4_port_coercion.htm (46709 bytes)
- [sv-bc] Mantis 1610 Bresticker, Shalom (Tue Oct 16 2007 - 08:16:27 PDT)
- 1610_D4_unnamed_blocks.htm (48423 bytes)
- [sv-bc] Mantis 1573 Bresticker, Shalom (Fri Oct 19 2007 - 00:13:05 PDT)
- 1573_D4_port_coercion.V2.htm (46678 bytes)
- [sv-bc] RE: Suppression of unique/priority glitches (new proposal at http://www.verilog.org/mantis/view.php?id=2005) Seligman, Erik (Fri Oct 19 2007 - 09:12:02 PDT)
- AssertDefer071018es.pdf (42010 bytes)
- RE: [sv-bc] Trimming whitespace from macro actuals Bresticker, Shalom (Sun Oct 21 2007 - 05:10:52 PDT)
- 2140_D4_macro_arg_white_space.htm (42853 bytes)
- RE: [sv-ec] Re: [sv-bc] Re: Feedback from Freescale on name resolution issues Ryan, Ray (Sun Oct 21 2007 - 19:41:42 PDT)
- test.v (845 bytes)
- [sv-bc] FW: [sv-ac] call to vote on 1641 Bresticker, Shalom (Tue Oct 23 2007 - 00:10:03 PDT)
- severity_tasks_9.pdf (29112 bytes)
- [sv-bc] 2005: Deferred assertions (new proposal at http://www.verilog.org/mantis/view.php?id=2005) Seligman, Erik (Fri Oct 26 2007 - 15:19:24 PDT)
- AssertDefer071026es.pdf (81949 bytes)
- [sv-bc] Mantis 2163: implicit blocks created by for/foreach loops Bresticker, Shalom (Sun Oct 28 2007 - 02:09:25 PDT)
- 2163_D4_loop_scopes.htm (65159 bytes)
- Re: [sv-bc] E-mail Vote: Respond by 8am PDT, Monday, October 29 Clifford E. Cummings (Sun Oct 28 2007 - 18:41:59 PDT)
- Cliffs_votes_20071029_bc.pdf (9609 bytes)
- RE: [sv-bc] part-select terminology Bresticker, Shalom (Wed Oct 31 2007 - 03:04:12 PDT)
- select.htm (55794 bytes)
- [sv-bc] New proposal for Mantis 1360: clarify that separate always_comb's to different variable selects are allowed Bresticker, Shalom (Thu Nov 01 2007 - 03:44:06 PDT)
- 1360_D4.V2.htm (42018 bytes)
- [sv-bc] Mantis 1041 proposal for review Bresticker, Shalom (Sun Nov 04 2007 - 08:44:00 PST)
- 1041_D4_case.htm (124725 bytes)
- RE: [sv-bc] Mantis 1041 proposal for review Bresticker, Shalom (Sun Nov 04 2007 - 19:21:24 PST)
- 1041_D4_case.htm (128378 bytes)
- [sv-bc] Mantis 2036 proposal Bresticker, Shalom (Sun Nov 04 2007 - 19:32:16 PST)
- 2036_D4_unique.htm (42265 bytes)
- [sv-bc] Pre-Proposal to handle X-problems in RTL coding Clifford E. Cummings (Mon Nov 05 2007 - 18:06:38 PST)
- ARM_2state_proposal_CliffNotes.pdf (29466 bytes)
- RE: [sv-bc] part selects on arbitrary expressions Bresticker, Shalom (Wed Nov 07 2007 - 07:52:58 PST)
- 1197_D4_concat_select.htm (60770 bytes)
- RE: [sv-bc] part selects on arbitrary expressions Bresticker, Shalom (Wed Nov 07 2007 - 07:59:37 PST)
- 1197_D4_concat_select.htm (60773 bytes)
- RE: [sv-bc] part selects on arbitrary expressions Bresticker, Shalom (Thu Nov 08 2007 - 04:10:55 PST)
- 1197_D4_concat_select.V2.htm (63743 bytes)
- [sv-bc] Mantis 2170 Bresticker, Shalom (Fri Nov 09 2007 - 05:16:12 PST)
- 2170_D4_equality.htm (46679 bytes)
- RE: [sv-bc] FW: Manti 1345, 1711: unique if/case Bresticker, Shalom (Wed Nov 14 2007 - 02:42:34 PST)
- 1345_D4_unique.htm (46661 bytes)
- [sv-bc] Proposal for 997 Michael Burns (Fri Nov 16 2007 - 17:49:15 PST)
- draft4-v1.pdf (18382 bytes)
- RE: [sv-bc] Mantis 1571: proposal for macro defaults Bresticker, Shalom (Sun Nov 25 2007 - 12:58:06 PST)
- 1571_D4.V2.htm (67683 bytes)
- RE: [sv-bc] Mantis 1571: proposal for macro defaults Bresticker, Shalom (Tue Nov 27 2007 - 06:10:43 PST)
- 1571_D4.V3.htm (68727 bytes)
- RE: [sv-bc] FW: Manti 1345, 1711: unique if/case Bresticker, Shalom (Tue Nov 27 2007 - 10:34:38 PST)
- 1345_D4_unique.V2.htm (56370 bytes)
- [sv-bc] Re: Manti 1345, 1711: unique if/case Bresticker, Shalom (Wed Nov 28 2007 - 09:36:30 PST)
- 1345_D4_unique.V2.htm (56370 bytes)
- RE: [sv-bc] e-mail ballot: respond by Dec 3, 8am PST Stuart Sutherland (Fri Nov 30 2007 - 11:05:15 PST)
- aligned_v5.pdf (19871 bytes)
- RE: [sv-bc] e-mail ballot: respond by Dec 3, 8am PST Bresticker, Shalom (Sun Dec 02 2007 - 06:51:11 PST)
- 1571_D4.V5.htm (70469 bytes)
- RE: [sv-bc] e-mail ballot: respond by Dec 3, 8am PST Bresticker, Shalom (Sun Dec 02 2007 - 23:40:44 PST)
- 1571_D4.V6.htm (70529 bytes)
- [sv-bc] Emailing: 1350_D4_$.doc Bresticker, Shalom (Sun Dec 02 2007 - 23:57:36 PST)
- 1350_D4__.doc (77312 bytes)
- [sv-bc] Mantis 1619 with Cliff's Examples Clifford E. Cummings (Mon Dec 03 2007 - 10:36:29 PST)
- accum_mantis1619.v (3767 bytes)
- RE: [sv-bc] E-mail Ballot: Respond by 8AM PST, Mon, Dec 10, 2007 Bresticker, Shalom (Tue Dec 04 2007 - 01:35:39 PST)
- 1571_D4.V7.htm (70628 bytes)
- [sv-bc] Mantis 2169 part-selects Bresticker, Shalom (Tue Dec 04 2007 - 01:42:27 PST)
- select.V3.htm (55852 bytes)
- [sv-bc] New proposal for Mantis 2184 on constant system function calls Mark Hartoog (Tue Dec 04 2007 - 10:13:02 PST)
- Constant_expressions_v4.html (7683 bytes)
- [sv-bc] Mantis 2106 Bresticker, Shalom (Thu Dec 06 2007 - 03:54:43 PST)
- 2106.doc (25600 bytes)
- Re: [sv-bc] E-mail Ballot: Respond by 8AM PST, Mon, Dec 10, 2007 Clifford E. Cummings (Fri Dec 07 2007 - 15:25:14 PST)
- 20071210_Cliff.pdf (11184 bytes)
- RE: [sv-bc] Mantis 2097 Bresticker, Shalom (Sun Dec 09 2007 - 01:00:32 PST)
- 2097_D4_release.V2.htm (35034 bytes)
- [sv-bc] Mantis 2106 amendments Bresticker, Shalom (Sun Dec 09 2007 - 05:45:46 PST)
- 12-09.doc (33792 bytes)
- [sv-bc] RE: E-mail Ballot Due Dec 17 8AM PST Bresticker, Shalom (Wed Dec 12 2007 - 05:31:29 PST)
- 2097_D4_release.V3.htm (35184 bytes)
- 1602_D4.V2.htm (59536 bytes)
- 12-09.htm (30583 bytes)
- [sv-bc] mantis 2037 Don Mills (Tue Dec 11 2007 - 23:34:54 PST)
- Setting_params_in_configs_8.doc (41984 bytes)
- RE: [sv-bc] Mantis 2097 Bresticker, Shalom (Tue Dec 11 2007 - 06:15:53 PST)
- 2097_D4_release.V3.doc (32768 bytes)
- RE: [sv-bc] Mantis 1602: task/function inout arg defaults Bresticker, Shalom (Tue Dec 11 2007 - 08:07:42 PST)
- 1602_D4.V2.htm (59536 bytes)
- [sv-bc] Mantis 2102 Bresticker, Shalom (Wed Dec 12 2007 - 07:52:00 PST)
- 2102.doc (37376 bytes)
- [sv-bc] Re: E-mail Ballot Due Dec 17 8AM PST Don Mills (Wed Dec 12 2007 - 07:12:06 PST)
- Setting_params_in_configs_8.doc (41984 bytes)
- RE: [sv-bc] E-mail Ballot Due Dec 17 8AM PST Bresticker, Shalom (Thu Dec 13 2007 - 06:13:34 PST)
- 1602_D4.V3.htm (63797 bytes)
- RE: [sv-bc] Mantis 1573 Bresticker, Shalom (Thu Dec 13 2007 - 09:27:14 PST)
- 1573_D4_port_coercion.V3.htm (50638 bytes)
- RE: [sv-bc] Mantis 1573 Bresticker, Shalom (Fri Dec 14 2007 - 02:04:42 PST)
- 1573_D4_port_coercion.V4.htm (50060 bytes)
- [sv-bc] Mantis 1984 Bresticker, Shalom (Fri Dec 14 2007 - 03:09:42 PST)
- 1984_D4_inputs.htm (48028 bytes)
- RE: [sv-bc] Mantis 1984 Bresticker, Shalom (Sat Dec 15 2007 - 09:59:00 PST)
- 1984_D4_inputs.V2.htm (69153 bytes)
- [sv-bc] Variable number of arguments to macros Bresticker, Shalom (Sat Dec 15 2007 - 12:48:27 PST)
- 1566_D4_macros.V2.htm (56459 bytes)
- [sv-bc] Mantis 1863: $system Bresticker, Shalom (Sun Dec 16 2007 - 05:36:54 PST)
- 1863_D4.V3.htm (45402 bytes)
- [sv-bc] 2037 updated with VERY FRIENDLY amendments Don Mills (Mon Dec 17 2007 - 12:00:20 PST)
- Setting_params_in_configs_10.doc (47616 bytes)
- [sv-bc] 2037 update Don Mills (Sun Jan 06 2008 - 23:05:39 PST)
- Setting_params_in_configs_11.doc (45568 bytes)
- [sv-bc] Mantis 2106 Bresticker, Shalom (Tue Jan 29 2008 - 06:41:24 PST)
- 2106_type_data_names.V3.htm (62058 bytes)
- [sv-bc] Example from 12.4.2.3 Clifford E. Cummings (Mon Feb 04 2008 - 09:47:41 PST)
- Mantis2008_ExampleReWrite.pdf (9766 bytes)
- FW: [sv-ac] FW: [sv-bc] BC review of 1769 - $elab_fatal et al Bresticker, Shalom (Tue Feb 19 2008 - 05:10:13 PST)
- 1769_ElaborationTimeUserAssert.080211.pdf (27870 bytes)
- RE: [sv-bc] visiblity of imported package function Brad Pierce (Thu Feb 28 2008 - 22:23:58 PST)
- package_exports_bolded_alt1.htm (28739 bytes)
- RE: [sv-bc] Mantis 1465 Bresticker, Shalom (Mon Mar 03 2008 - 19:25:25 PST)
- 1465_D4.doc (56320 bytes)
- RE: [sv-ac] RE: [sv-bc] Email ballot: Respond by Friday, Februrary 29, 8am PST Eduard Cerny (Tue Mar 04 2008 - 10:01:19 PST)
- 1769_ElaborationTimeUserAssert.080304.pdf (31254 bytes)
- RE: [sv-bc] Aggregate expressions Brad Pierce (Thu Mar 06 2008 - 07:45:49 PST)
- P1800_ballot_issue_226_275.htm (84608 bytes)
- [sv-bc] Mantis 1465 Bresticker, Shalom (Fri Mar 07 2008 - 00:17:07 PST)
- 1465_D4.doc (75264 bytes)
- [sv-bc] Re: [sv-ec] !, && and || operators allowed for virtual interface or event or chandle variables Moumita (Mon Mar 10 2008 - 22:20:06 PDT)
- ec__RE:__sv-bc__List_of_operators_allowed_on_class_object (5834 bytes)
- [sv-bc] Mantis 927 Bresticker, Shalom (Tue Mar 11 2008 - 06:49:37 PDT)
- 927_D4.htm (42066 bytes)
- [sv-bc] Mantis 1465 Bresticker, Shalom (Thu Mar 13 2008 - 04:56:26 PDT)
- 1465_D4.V3.doc (82944 bytes)
- RE: [sv-bc] Mantis 1465 Bresticker, Shalom (Fri Mar 14 2008 - 06:47:46 PDT)
- 1465_D4.V4.doc (86528 bytes)
- RE: [sv-bc] Mantis 1465 Bresticker, Shalom (Sun Mar 16 2008 - 02:03:30 PDT)
- 1465_D4.V5.doc (88064 bytes)
- [sv-bc] Mantis 2269 (sign casting) Bresticker, Shalom (Mon Mar 17 2008 - 02:19:34 PDT)
- 2269_D4.doc (39936 bytes)
- RE: [sv-bc] Mantis 2269 (sign casting) Bresticker, Shalom (Tue Mar 18 2008 - 02:02:44 PDT)
- 2269_D4.V2.htm (63504 bytes)
- RE: [sv-bc] Resend: E-mail Ballot: Respond by 8am PDT, Tuesday, March 25 Bresticker, Shalom (Wed Mar 19 2008 - 02:00:16 PDT)
- 1465_D4.V7.htm (144347 bytes)
- RE: [sv-bc] E-mail Ballot: Respond by 8am PDT, Tuesday, March 25 Bresticker, Shalom (Wed Mar 19 2008 - 03:04:36 PDT)
- 1465_D4.V8.htm (145576 bytes)
- RE: [sv-bc] uploaded a new version of mantis 1809 Brad Pierce (Wed Mar 19 2008 - 16:50:08 PDT)
- v4.pdf (137387 bytes)
- RE: [sv-bc] E-mail Ballot: Respond by 8am PDT, Tuesday, March 25 Brad Pierce (Thu Mar 27 2008 - 08:00:58 PDT)
- rejected_abbreviated_packed_dimension_325.pdf (48296 bytes)
- rejected_packed_cast_1401.pdf (98769 bytes)
- RE: [sv-bc] 2008 changes will need Champion review of clause 12.5.3 Bresticker, Shalom (Wed Apr 30 2008 - 08:13:24 PDT)
- 2008_D5_deglitch_if_case.doc (66048 bytes)
- RE: [sv-bc] 2008 changes will need Champion review of clause 12.5.3 Alsop, Thomas R (Wed Apr 30 2008 - 10:16:32 PDT)
- 2008_D5_deglitch_if_case_rev2.doc (68608 bytes)
- [sv-bc] {Filename?} mantis 676, 678 Don Mills (Tue May 13 2008 - 11:25:16 PDT)
- Attachment-Warning.txt (892 bytes)
- [sv-bc] Mantis 2399 proposal attached Bresticker, Shalom (Mon Jun 02 2008 - 00:55:21 PDT)
- 2399_D5.htm (93380 bytes)
- [sv-bc] Tf ansi nonansi declaration issue. Daniel Mlynek (Fri Jul 11 2008 - 02:23:30 PDT)
- nonansi_tf_declaration.zip (3455 bytes)
- RE: [sv-bc] Tf ansi nonansi declaration issue. Daniel Mlynek (Fri Jul 11 2008 - 04:12:25 PDT)
- nonansi_tf_declaration.zip (973 bytes)
- RE: [sv-bc] Mantis 1809 Brad Pierce (Mon Jul 21 2008 - 02:05:42 PDT)
- v7.pdf (129180 bytes)
- RE: [sv-bc] Question on 'Unpacked array concatenation' Brad Pierce (Wed Dec 10 2008 - 22:59:57 PST)
- 1702-3.pdf (66136 bytes)
- 520-2.pdf (45429 bytes)
- [sv-bc] RE: [P1800] March 2009 P1800 Ballot Comments Bresticker, Shalom (Mon Apr 06 2009 - 01:54:39 PDT)
- P1800_compiled_comments_06Apr09_edited.xls (124928 bytes)
- [sv-bc] updated ballot comment xls Bresticker, Shalom (Mon Apr 13 2009 - 08:01:15 PDT)
- P1800_compiled_comments_13Apr09_edited.xls (125440 bytes)
- Re: [sv-bc] E-mail Ballot Due Monday, June 8, 8AM PDT Clifford E. Cummings (Sun Jun 07 2009 - 11:17:02 PDT)
- 20090608_bc_cliff.pdf (11076 bytes)
- [sv-bc] RE: [sv-ec] 2380: a proposal requiring element equivalence Brad Pierce (Mon Jun 08 2009 - 15:36:17 PDT)
- proposal-v2.pdf (47849 bytes)
- [sv-bc] FW: [sv-ec] Mantis 2380 proposal updated Brad Pierce (Fri Jun 12 2009 - 07:21:05 PDT)
- proposal-v2A.pdf (49376 bytes)
- [sv-bc] RE: [sv-cc] Notes from 26 February 2010 SystemVerilog Requirements Gathering Meeting Bresticker, Shalom (Mon Mar 01 2010 - 19:58:35 PST)
- P1800_VerilogAMS_Requirements_Feb10.ppt (352768 bytes)
- RE: [sv-bc] RE: [sv-cc] Notes from 26 February 2010 SystemVerilog Requirements Gathering Meeting Bresticker, Shalom (Mon Mar 01 2010 - 21:06:46 PST)
- p1800.pptx (63950 bytes)
- RE: [sv-bc] Spreadsheet voting status Bresticker, Shalom (Mon Apr 26 2010 - 06:36:34 PDT)
- shalom_s_SVBC_Top_25.xls (53760 bytes)
- Re: [sv-bc] SV-BC Top-25 Issues Posted - Please Read to Collaborate Clifford E. Cummings (Mon Apr 26 2010 - 08:35:42 PDT)
- Cliffs_vote.xls (19456 bytes)
- [sv-bc] RE: Agenda: April 26 SV-BC Meeting Brad Pierce (Mon Apr 26 2010 - 09:04:10 PDT)
- slideset.pdf (106243 bytes)
- [sv-bc] RE: Agenda: May 10 SV-BC Meeting Bresticker, Shalom (Sun May 09 2010 - 12:29:26 PDT)
- Interfaces_Future.pdf (102514 bytes)
- [sv-bc] FW: sv-ec top 25 list summary report Bresticker, Shalom (Thu May 13 2010 - 02:28:32 PDT)
- SVEC_top25_13May2010.pdf (166708 bytes)
- [sv-bc] port declaration issues Bresticker, Shalom (Mon Aug 16 2010 - 08:51:05 PDT)
- ports_issues.txt (8447 bytes)
- [sv-bc] RE: Proposal for Mantis 696 - Parameterized Functions/Tasks Alsop, Thomas R (Mon Sep 27 2010 - 10:52:48 PDT)
- 696_Parameterized_Functions_rev2.pdf (139830 bytes)
- [sv-bc] RE: Proposal for Mantis 696 - Parameterized Functions/Tasks Alsop, Thomas R (Tue Sep 28 2010 - 17:04:32 PDT)
- 696_Parameterized_Functions_rev3.pdf (140444 bytes)
- RE: [sv-bc] module malice( output .name(expr), whatsmytype ); Bresticker, Shalom (Sun Oct 24 2010 - 07:31:18 PDT)
- 2889_explicitly_named_ports.pdf (4374 bytes)
- RE: [sv-bc] $unit in library files? Rich, Dave (Mon Oct 25 2010 - 00:02:22 PDT)
- image001.png (1688 bytes)
- image002.png (1754 bytes)
- [sv-bc] Mantis 2534 - connecting generic interfaces Bresticker, Shalom (Mon Oct 25 2010 - 03:11:40 PDT)
- 2534_generic_interface.pdf (5353 bytes)
- RE: [sv-bc] Mantis 3231 proposal Rich, Dave (Mon Oct 25 2010 - 15:00:12 PDT)
- image001.png (1688 bytes)
- image002.png (1754 bytes)
- RE: [sv-bc] Mantis 3231 proposal Steven Sharp (Tue Oct 26 2010 - 18:54:56 PDT)
- image001.png (1688 bytes)
- image002.png (1754 bytes)
- [sv-bc] Mantis 2889: .named_port(expression) in ANSI-style port declaration list should reset properties Bresticker, Shalom (Sun Nov 07 2010 - 01:24:33 PST)
- 2889_rev1_explicitly_named_ports.pdf (68554 bytes)
- [sv-bc] Mantis 2889: explicit ANSI port rules Bresticker, Shalom (Tue Nov 09 2010 - 08:55:33 PST)
- 2889_rev2_explicitly_named_ports.pdf (69516 bytes)
- [sv-bc] RE: [sv-ec] Mantis 2929: ref_declaration should use list_of_variable_port_identifiers Bresticker, Shalom (Tue Nov 09 2010 - 09:29:42 PST)
- ansi_ref_declarations.pdf (4408 bytes)
- [sv-bc] RE: [sv-ac] RE: Cooperation request in definition of simulation semantics of emerging checker constructs Rich, Dave (Tue Nov 16 2010 - 07:54:21 PST)
- image001.png (1688 bytes)
- image002.png (1754 bytes)
- [sv-bc] RE: [sv-ac] RE: Cooperation request in definition of simulation semantics of emerging checker constructs Korchemny, Dmitry (Tue Nov 16 2010 - 08:01:30 PST)
- image001.png (1688 bytes)
- image002.png (1754 bytes)
- RE: [sv-bc] RE: [sv-ac] RE: Cooperation request in definition of simulation semantics of emerging checker constructs Korchemny, Dmitry (Wed Nov 17 2010 - 06:46:22 PST)
- image001.png (1688 bytes)
- image002.png (1754 bytes)
- [sv-bc] explicit ports Bresticker, Shalom (Tue Dec 07 2010 - 09:25:17 PST)
- 2889_rev3_explicitly_named_ports.pdf (69473 bytes)
- [sv-bc] constant_range_expression in constant_primary Bisht, Laurence S (Wed Jan 26 2011 - 03:21:30 PST)
- image001.gif (1089 bytes)
- [sv-bc] Resend: constant_range_expression in constant_primary Bisht, Laurence S (Wed Jan 26 2011 - 03:23:06 PST)
- image002.gif (1089 bytes)
- RE: [sv-bc] constant_range_expression in constant_primary Bresticker, Shalom (Wed Jan 26 2011 - 03:38:09 PST)
- image002.gif (1089 bytes)
- RE: [sv-bc] constant_range_expression in constant_primary Bresticker, Shalom (Wed Jan 26 2011 - 06:21:48 PST)
- image002.gif (1089 bytes)
- RE: [sv-bc] constant_range_expression in constant_primary Bresticker, Shalom (Wed Jan 26 2011 - 10:28:36 PST)
- image001.gif (1089 bytes)
- [sv-bc] RE: [sv-ac] New proposal posted for 2476 at http://www.verilog.org/mantis/view.php?id=2476 Rich, Dave (Thu Jan 27 2011 - 01:16:11 PST)
- image001.png (1688 bytes)
- image002.png (1754 bytes)
- [sv-bc] RE: [sv-ac] New proposal posted for 2476 at http://www.verilog.org/mantis/view.php?id=2476 Bresticker, Shalom (Thu Jan 27 2011 - 07:08:17 PST)
- image001.png (1688 bytes)
- image002.png (1754 bytes)
- [sv-bc] Virtual interface resolution Mark Hartoog (Fri Jan 28 2011 - 15:06:33 PST)
- Interface_Configuration.pdf (252547 bytes)
- Re: [sv-bc] nested interfaces as "interfaces to interfaces" Brad Pierce (Fri Jan 28 2011 - 23:39:44 PST)
- BC_10837.pdf (254679 bytes)
- [sv-bc] Mantis 2463 9.4.3: Description of repeat event control is in the wrong place Rich, Dave (Mon Jan 31 2011 - 09:09:17 PST)
- image001.png (1688 bytes)
- image002.png (1754 bytes)
- RE: [sv-bc] streaming operator unpack doubt Rich, Dave (Thu Feb 10 2011 - 17:00:37 PST)
- image001.png (1688 bytes)
- image002.png (1754 bytes)
- [sv-bc] New Operating Procedures Karen Pieper (Mon Feb 14 2011 - 10:15:22 PST)
- CMM_FAQ_final.doc (33792 bytes)
- Re: [sv-bc] uploaded proposal to Mantis 3151 Brad Pierce (Sat Apr 16 2011 - 22:32:29 PDT)
- tryfact.pdf (93481 bytes)
- Re: [sv-bc] Please review Mantis 2108 Brad Pierce (Tue May 10 2011 - 11:26:55 PDT)
- NameResolution_face2face_slides2.pdf (227829 bytes)
- [sv-bc] Issues to be closed Rich, Dave (Sun May 15 2011 - 10:03:48 PDT)
- image001.png (1688 bytes)
- image002.png (1754 bytes)
- [sv-bc] RE: Issues to be closed Maidment, Matthew R (Mon May 16 2011 - 09:00:31 PDT)
- image001.png (1688 bytes)
- image002.png (1754 bytes)
- [sv-bc] RE: Enumerate Extensions examples and usage models Rich, Dave (Fri Jun 03 2011 - 23:51:11 PDT)
- image001.png (1688 bytes)
- image002.png (1754 bytes)
- [sv-bc] RE: Glitch-free deferred assertions Rich, Dave (Wed Jul 06 2011 - 08:54:49 PDT)
- image001.png (1688 bytes)
- image002.png (1754 bytes)
- [sv-bc] RE: Glitch-free deferred assertions Korchemny, Dmitry (Mon Jul 11 2011 - 05:22:30 PDT)
- image001.png (1688 bytes)
- image002.png (1754 bytes)
- [sv-bc] RE: Time consuming tasks in always_ff Rich, Dave (Tue Jul 19 2011 - 15:30:21 PDT)
- image001.png (1688 bytes)
- image002.png (1754 bytes)
- [sv-bc] Mantis 3087 Uses of comment pragmas instead of attributes Rich, Dave (Tue Aug 02 2011 - 08:02:25 PDT)
- image001.png (1688 bytes)
- image002.png (1754 bytes)
- Re: [sv-bc] Mantis 3087 Uses of comment pragmas instead of attributes Brad Pierce (Tue Aug 02 2011 - 12:21:44 PDT)
- image001.png (1688 bytes)
- image002.png (1754 bytes)
- RE: [sv-cc] Re: [sv-bc] Mantis 3087 Uses of comment pragmas instead of attributes Vitaly Yankelevich (Wed Aug 03 2011 - 02:52:30 PDT)
- image001.png (1688 bytes)
- image002.png (1754 bytes)
- RE: [sv-cc] Re: [sv-bc] Mantis 3087 Uses of comment pragmas instead of attributes Jim Vellenga (Wed Aug 03 2011 - 08:54:30 PDT)
- image001.png (1688 bytes)
- image002.png (1754 bytes)
- RE: [sv-bc] Mantis 3087 Uses of comment pragmas instead of attributes Peter Flake (Thu Aug 04 2011 - 07:40:32 PDT)
- image001.png (1688 bytes)
- image002.png (1754 bytes)
- RE: [sv-cc] Re: [sv-bc] Mantis 3087 Uses of comment pragmas instead of attributes Maidment, Matthew R (Thu Aug 04 2011 - 10:01:46 PDT)
- image001.png (1688 bytes)
- image002.png (1754 bytes)
- Re: [sv-cc] Re: [sv-bc] Mantis 3087 Uses of comment pragmas instead of attributes Brad Pierce (Thu Aug 04 2011 - 10:26:12 PDT)
- image001.png (1688 bytes)
- image002.png (1754 bytes)
- RE: [sv-cc] Re: [sv-bc] Mantis 3087 Uses of comment pragmas instead of attributes Jim Vellenga (Thu Aug 04 2011 - 13:21:14 PDT)
- image001.png (1688 bytes)
- image002.png (1754 bytes)
- RE: [sv-cc] Re: [sv-bc] Mantis 3087 Uses of comment pragmas instead of attributes Vitaly Yankelevich (Sun Aug 07 2011 - 02:08:46 PDT)
- image001.png (1688 bytes)
- image002.png (1754 bytes)
- RE: [sv-cc] Re: [sv-bc] Mantis 3087 Uses of comment pragmas instead of attributes Maidment, Matthew R (Sun Aug 07 2011 - 23:52:09 PDT)
- image001.png (1688 bytes)
- image002.png (1754 bytes)
- RE: [sv-cc] Re: [sv-bc] Mantis 3087 Uses of comment pragmas instead of attributes Vitaly Yankelevich (Tue Aug 09 2011 - 09:06:03 PDT)
- image001.png (1688 bytes)
- image002.png (1754 bytes)
- RE: [sv-cc] Re: [sv-bc] Mantis 3087 Uses of comment pragmas instead of attributes Maidment, Matthew R (Tue Aug 09 2011 - 09:58:54 PDT)
- image001.png (1688 bytes)
- image002.png (1754 bytes)
- RE: [sv-cc] Re: [sv-bc] Mantis 3087 Uses of comment pragmas instead of attributes Vitaly Yankelevich (Tue Aug 09 2011 - 10:43:34 PDT)
- image001.png (1688 bytes)
- image002.png (1754 bytes)
- RE: [sv-cc] Re: [sv-bc] Mantis 3087 Uses of comment pragmas instead of attributes Warmke, Doug (Tue Aug 09 2011 - 14:32:28 PDT)
- image001.png (1688 bytes)
- image002.png (1754 bytes)
- RE: [sv-cc] Re: [sv-bc] Mantis 3087 Uses of comment pragmas instead of attributes Vitaly Yankelevich (Wed Aug 10 2011 - 02:37:09 PDT)
- image001.png (1688 bytes)
- image002.png (1754 bytes)
- [sv-bc] port declaration issues Bresticker, Shalom (Fri Aug 26 2011 - 04:27:02 PDT)
- ports.doc (64512 bytes)
- [sv-bc] RE: email vote: respond by Monday Aug 29 Rich, Dave (Mon Aug 29 2011 - 00:22:28 PDT)
- image001.png (1688 bytes)
- image002.png (1754 bytes)
- [sv-bc] sv-bc 19.5 Defining coverage points // Error in eample ben cohen (Sun Sep 11 2011 - 13:44:35 PDT)
- cvg_weight_err.sv (3863 bytes)
- cvg_weight.sv (3855 bytes)
- [sv-bc] RE: Mantis 1523 conditional operator with arrays Bresticker, Shalom (Mon Sep 26 2011 - 06:01:06 PDT)
- 1523_shalom_2011.09.26.doc (43008 bytes)
- Re: [sv-bc] RE: Mantis 1523 conditional operator with arrays Brad Pierce (Mon Sep 26 2011 - 09:17:30 PDT)
- 1523_shalom_2011.09.26.pdf (92541 bytes)
- [sv-bc] RE: Mantis 1523 conditional operator with arrays Bresticker, Shalom (Mon Sep 26 2011 - 09:43:56 PDT)
- 1523_rev5_shalom.pdf (137113 bytes)
- {Spam?} [sv-bc] P1800-2012 Ballot Resolution Committee Meeting May 21st Rich, Dave (Fri May 11 2012 - 01:02:05 PDT)
- image001.png (1688 bytes)
- 2012_Ballot_1_Comments.xlsx (24282 bytes)
- image002.png (1754 bytes)
- [sv-bc] FW: Congratulations - P1800 is approved Brophy, Dennis (Wed Dec 05 2012 - 08:09:15 PST)
- image007.png (938 bytes)
- image008.png (1291 bytes)
- image001.jpg (13580 bytes)
- image005.png (602 bytes)
- image006.png (663 bytes)
- image003.png (568 bytes)
- image002.png (533 bytes)
- image004.png (715 bytes)
- [sv-bc] FW: P1735 draft review by your working group Brophy, Dennis (Fri Mar 22 2013 - 01:07:16 PDT)
- footer_gray_640.gif (64 bytes)
- [sv-bc] RE: [P1800] FW: P1735 draft review by your working group Brophy, Dennis (Fri Mar 22 2013 - 01:10:16 PDT)
- image001.gif (64 bytes)
- RE: [sv-bc] Interconnect? Brad Pierce (Mon Aug 05 2013 - 16:49:00 PDT)
- dcscoperoadmap.pdf (108078 bytes)
- [sv-bc] FW: SystemVerilog UVM WG request list Maidment, Matthew R (Wed Feb 25 2015 - 16:17:28 PST)
- System_Verilog_2015_Requests_from_UVM_WG.docx (26744 bytes)
- Re: [sv-ac] RE: [sv-bc] RE: [P1800] Mantis Migration Neil Korpusik (Tue Oct 20 2015 - 12:55:32 PDT)
- eda.org.reflectors.docx (16277 bytes)
- Last message date: Tue Dec 01 2015 - 18:09:25 PST
- Archived on: Tue Dec 01 2015 - 18:10:25 PST